当前位置:首页 > 测试测量 > 测试测量
[导读]   本文介绍了基于FPGA技术的DDS波形发生器的原理与设计,并利用SignalTapII嵌入式逻辑分析仪对正弦波、三角波、方波、锯齿波进行仿真验证。实验结果表明,利用FPGA能在很短时间内快速构建任意波形,提

  本文介绍了基于FPGA技术DDS波形发生器的原理与设计,并利用SignalTapII嵌入式逻辑分析仪对正弦波、三角波、方波、锯齿波进行仿真验证。实验结果表明,利用FPGA能在很短时间内快速构建任意波形,提高了设计效率,具有实际应用价值。

  1.引言

  DDS频率合成器具有频率分辨率高,输出频点多,可达2N个频点(假设DDS相位累加器的字长是N);频率切换速度快,可达us量级;频率切换时相位连续的优点,可以输出宽带正交信号,其输出相位噪声低,对参考频率源的相位噪声有改善作用;可以产生任意波形;全数字化实现,便于集成,体积小,重量轻。

  本文介绍了DDS的基本原理,同时针对DDS波形发生器的FPGA实现进行了简要介绍,利用SignalTapII嵌入式逻辑分析仪对正弦波、三角波、方波、锯齿波进行仿真验证。

  2.DDS波形发生器的FPGA实现

  FPGA的应用不仅使得数字电路系统的设计非常方便,而且它的时钟频率已可达到几百兆赫兹,加上它的灵活性和高可靠性,非常适合用于实现波形发生器的数字电路部分。使用FPGA设计DDS电路比采用专用DDS芯片更为灵活,只需改变FPGA中的ROM数据,DDS就可以产生任意波形,具有相当大的灵活性。

  2.1 FPGA设计流程

  FPGA的设计框图如图1所示,FPGA的主要功能是:产生与外围电路的接口电路,使其能够接受外围逻辑控制信号;保存频率字,并构成相位累加器,产生与主时钟相同频率的RAM寻址字;用内部的存储块构成存放多种波形数据的ROM,并通过相应的控制线进行选择;构造出两个多波形选择输出的输出通道,其中的一路通道可具备移相功能;用内部的PLL倍频外部低频晶振,并输出与主时钟同频的时钟,驱动片外高速D/A.

  2.2 时钟模块

  根据耐奎斯特采样定理要得到输出频率为10MHz的信号,其所输入的信号时钟频率必须达20MHz以上。采样频率越高,输出波形的平坦度越好,同时波形的的采样点数也越多,那么获得的波形质量也就越好。本设计中的DDS模块是一高速模块,所以对系统时钟就有很高的要求,不仅需要有较高的频率,而且还要有非常高的稳定性,如果在FPGA的时钟端直接加一高频晶振,不仅时钟不稳定,而且功耗大,费用高,在本设计中,直接调用Altera公司的PLL核,在FPGA时钟端只需加一低频晶振,通过FPGA内部PLL倍频达到系统时钟要求,输出的时钟相位偏移在允许范围内。

  2.3 DDS控制模块

  (1)频率控制字输入模块

  频率控制字输入模块如图2所示,数据选择器控制输入16位频率控制字。

  (2)步进频率控制模块

  步进频率控制模块如图3所示,通过一个乘法器来控制步进频率,具体算法如下:f步进=fc*2147/232.

  通过改变乘法器的乘数来改变步进频率。要使步进为1Hz那么乘法器的乘数为22.

  (3)频率累加器

  频率累加器模块如图4所示,通过一个32位加法器跟32位寄存器构成频率累加器,频率控制字高4位为0.

  (4)相位寄存器

  相位寄存器模块如图5所示,通过一个8位加法器跟8位寄存器构成相位寄存器并产生8位波形数据地址。

  (5)波形存储器设计

  波形数据ROM就是存放波形数据的存储器,大多波形发生器产品都将波形数据存放在外部的ROM中,这样使得各部分结构清晰,测试、维护更加方便但由于ROM本身读取速度慢的缺点,使得整个系统性能下降,工作频率下降,为了解决以上问题,本设计使用的是用FPGA设计出ROM,在FPGA中存放波形数据,使用Quartus II9.0中的Mega Wizard Plug-In Manager来生成一个ROM,如图6所示。

  Mega Wizard Plug-In Manager的设置,根据设计的要求,经过七步的设置,就可以生成一个ROM的IP核。当在波形ROM中固化所需波形的一个周期的幅度值后,由地址发生器产生的地址对波形ROM寻址,依次可取出送至D/A转换及滤波后即可得到所需的模拟波形输出。计算波形数据可以有两种方法:C语言与matlab计算。

  3.仿真实验结果

  按照第2节的系统设计,设计程序下载到FPGA芯片,使用QuartusII软件自带SignalTapII嵌入式逻辑分析进行仿真,观察信号波形图,正弦波如图7,三角波如图8,方波如图9,锯齿波如图10.

  4.总结

  经实验结果表明,通过DDS技术合成的波形具有良好的稳定性,易于控制和调节,利用FPGA能在很短时间内快速构建任意波形,提高了设计效率,具有实际应用价值。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

中国北京,2024年4月17日讯——电子顺磁共振(EPR)波谱仪或电子自旋共振(ESR)波谱仪与核磁共振(NMR)光谱仪类似,但不同之处在于它研究的对象是未配对的电子而不是原子核,比如质子。它被用于研究化学、生物学、材料...

关键字: EPR波谱仪 波形发生器 数字化仪

2024年3月13日,国务院印发《推动大规模设备更新和消费品以旧换新行动方案》(下称《方案》),提出到2027年,我国在工业、农业、建筑、交通、教育、文旅、医疗等领域的设备投资规模较2023年增长25%以上;推动符合条件...

关键字: 波形发生器 鼎阳科技

现代通信、物联网、导航设备在研发过程中需要产生复杂的调制信号和复杂的协议信号以进行系统的性能验证。不断提高的数据速率和更高的带宽需求促使人们创建更复杂的信号来验证设备在真实环境中的性能。创建测试波形的难度越来越大,工程师...

关键字: 物联网 波形发生器 智能电网

中国北京,2023年6月7日讯——Spectrum仪器公司宣布推出数字脉冲发生器(DPG)功能,用于公司旗下高分辨率和中速的数字化仪和任意波形发生器(AWG)系列产品。该功能使Spectrum旗下产品能够通过四个前面板多...

关键字: 波形发生器 数字化仪

今天,小编将在这篇文章中为大家带来电子测量仪器中的波形发生器的有关报道,通过阅读这篇文章,大家可以对波形发生器具备清晰的认识,主要内容如下。

关键字: 波形发生器 电子测量仪器 发生器

各位真的对不住,好久没更新了,真的非常感谢我还在你的订阅号列表中。前段时间录制的项目三——波形发生器;由于在录制过程中出了一点事故,所以只录制了方波部分。

关键字: 波形发生器 方波 工作原理

在这篇文章中,小编将对电子测量的相关内容和情况加以介绍以帮助大家增进对它的了解程度,和小编一起来阅读以下内容吧。

关键字: 电子测量 波形发生器 测量技术

本实验旨在研究如何利用ΔVBE概念来产生稳定(对输入电压电平的变化较不敏感)的输出电流。使用反馈来构建在一定的电源电压范围内产生恒定或调节输出电流的电路。

关键字: 晶体管 波形发生器 示波器 ADI

2021年4月14-16日,上海慕尼黑电子展在上海新国际博览中心举办。

关键字: 鼎阳科技 慕尼黑电子展 波形发生器

在大型数字波束合成天线中,人们非常希望通过组合来自分布式波形发生器和接收器的信号这一波束合成过程改善动态范围。

关键字: 射频收发器 数字波束 波形发生器
关闭
关闭