当前位置:首页 > 单片机 > 单片机
[导读]新思科技(Synopsys, Inc.)宣布,新思科技数字和定制设计平台通过了TSMC最先进的5nm EUV工艺技术认证。该认证是多年广泛合作的结果,旨在提供更优化的设计解决方案,加快下一代设计的发展进程。

此项认证为先进客户设计提供了经过验证的、可随时投产的流程

重点:

·IC Compiler II和Design Compiler Graphical提供了统一流程,实现最低功耗、最佳性能和最优面积。

·StarRC、PrimeTime和PrimeTime PX支持全流程设计实现并提供时序和功耗分析的signoff支持。

·具有先进仿真解决方案的新思科技定制设计平台支持最新5nm设计规则和FinFET器件模型。

新思科技(Synopsys, Inc.)宣布,新思科技数字和定制设计平台通过了TSMC最先进的5nm EUV工艺技术认证。该认证是多年广泛合作的结果,旨在提供更优化的设计解决方案,加快下一代设计的发展进程。

Design Compiler® Graphical综合工具经过了严格的5nm启用验证,并证明了与IC Compiler™ II布局布线工具在时序、面积、功耗和布线拥塞方面的相关一致性。Design Compiler Graphical 5nm创新技术可以实现最佳性能、最低功耗和最优面积,这些新技术包括过孔支柱优化、多位库和引脚接入优化。

IC Compiler II的增强功能是满足设计密度要求的关键。在优化过程中可内在地处理复杂的、多变量以及二维的单元布局,同时最大限度提高下游可布线性以及整体的设计收敛。

新思科技PrimeTime®时序分析和signoff解决方案中的POCV分析已得到增强,能够准确地捕获由于工艺缩放和通常用于实现能源效率而采用的低电压操作导致的非线性变化。此外,PrimeTime物理感知ECO已扩展到能够支持更复杂的版图规则,以改善拥塞、布局和引脚接入感知。

TSMC设计基础设施市场部资深总监Suk Lee表示,“5nm EUV技术是TSMC的核心里程碑,在提供业界最佳的工艺技术方面继续扩大了我们在更广泛行业中的领先地位。我们一直保持与新思科技的密切合作,简化设计流程并缩短上市时间,以帮助我们的共同用户在这一新的工艺节点上使用新思科技设计平台。此次合作最大程度地使该工艺在高性能计算和超低功耗移动应用上得以发挥优势。我们期待为下一代工艺节点继续合作。”

新思科技芯片设计事业部营销与商务开发副总裁Michael Jackson表示,“我们始终保持与TSMC广泛合作,帮助我们的共同用户在新思科技设计平台上充分利用TSMC 5nm工艺技术的优势,从而加快世界领先的高密度芯片从设计到生产的过程,实现最低功耗、最佳性能和最优面积。”

新思科技设计平台相关技术文件、库和寄生参数数据可以从TSMC获得,并用于5nm工艺技术。通过TSMC 5nm FinFET工艺认证的新思科技设计平台的关键工具和功能包括:

lIC Compiler II布局和布线:全自动、全着色布线和提取支持,新一代布局及布局合法化技术能够进一步减少单元占用空间,以及面向高设计利用率的先进布局合法化技术和引脚接入建模。

lPrimeTime时序signoff:针对低电压和增强型ECO技术的先进片上变异建模,支持新的物理设计规则。

lPrimeTime PX功耗分析:先进的功耗建模,可准确分析超高密度标准单元设计的漏电影响。

lStarRC提取signoff:先进的建模以处理5nm器件的复杂性,以及一套通用技术文件用于保证从逻辑综合到布局布线到signoff的寄生参数提取一致性。

lIC Validator物理signoff:原生开发的合格DRC、LVS和金属填充运行集,与TSMC设计规则同时发布。

lHSPICE®、CustomSim™和FineSim®仿真解决方案:支持Monte Carlo的FinFET器件建模,以及精确的电路仿真结果,用于模拟、逻辑、高频和SRAM设计。

lCustomSim可靠性分析:针对5nm EM规则的精确动态晶体管级IR/EM分析。

lCustom Compiler™定制设计:支持全新5nm设计规则、着色流程、多晶硅通道区域以及新的MEOL连接要求。

lNanoTime定制设计时序分析:针对5nm器件的运行时间和内存优化,FinFET堆的POCV分析,以及面向定制逻辑、宏单元和嵌入式SRAM的增强型信号完整性分析。

lESP-CV定制设计功能验证:面向SRAM、宏单元和库单元设计的晶体管级符号等价性检查。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

业内消息,近日芯片设计软件制造商Synopsys表示,将通过价值350亿美元的现金加股票交易收购EDA厂商Ansys 。该交易完成的话将是自芯片制造商博通以来科技领域最大的收购案,博通去年以690亿美元的交易收购了软件制...

关键字: 新思科技 Synopsys 收购 EDA Ansys

该AI驱动型数据分析解决方案能够挖掘未开发的、具有可操作的洞察,以提高芯片设计、制造、测试和现场部署的效率  摘要:  全面的AI驱动型数据分析解决方案可整合并利用IC设计、测试和制造流程中...

关键字: EDA SYNOPSYS 大数据分析 新思科技

(全球TMT2023年8月24日讯)新思科技(Synopsys, Inc.)宣布已经完成对PikeTec GmbH的收购。PikeTec是汽车控制单元系统软件测试和验证解决方案的领导者之一。软件定义汽车(SDVs)的出...

关键字: 新思科技 TE PI SYNOPSYS

加利福尼亚州桑尼维尔2023年8月30日 /美通社/ -- 新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布,已经完成对汽车控制单元软件测试和验证解决方案领导者PikeTec GmbH的收购。...

关键字: 自动驾驶 新思科技 TE PI

(全球TMT2023年7月28日讯)新思科技(Synopsys, Inc.)近日宣布,其搭载了Synopsys.ai全栈式AI驱动型EDA解决方案的数字和定制设计流程已经通过英特尔代工服务(IFS)的Intel 16制...

关键字: EDA Intel 新思科技 IP

基于台积公司N3E工艺的广泛IP组合能够助力AI、移动和HPC 等新兴领域实现业界领先的功耗、性能和面积(PPA) 要点:  基于台积公司N3E工艺技术的新思科技IP能够为希望降低集成风险并...

关键字: 芯片设计 新思科技 IP BSP

7月27日消息消息,EDA电子设计被称为芯片之母,是先进芯片设计不可或缺的工具,市场主要掌握在美欧三大公司中,国内最大的是华大九天,他们的EDA工具也部分支持5nm工艺了。

关键字: 华大九天 EDA 5nm

业内最新消息,根据台积电供应链晶圆厂工具制造商知情人士透露,台积电的产能利用率下半年将迎来大幅提升,尤其是 7nm 以下的先进制程工艺。

关键字: 台积电 7nm 3nm 5nm

新思科技业界领先的EDA和IP全方位解决方案与Arm全面计算解决方案强强结合,助力生态系统应对多裸晶芯片系统设计挑战

关键字: ARM SoC 新思科技

今日消息,博主数码闲聊站透露,联发科天玑9200+已在路上,这将是今年联发科最强悍的5G Soc。

关键字: 联发科 台积电 5nm 芯片
关闭
关闭