当前位置:首页 > 单片机 > 单片机
[导读]在本周于旧金山举办的SEMICON West大会上,英特尔的工程技术专家们介绍了英特尔先进封装技术的最新信息,并推出了一系列全新基础工具,包括将EMIB和Foveros技术相结合的创新应用,以及全新的全方位互连(ODI, Omni-Directional Interconnect)技术。英特尔的全新封装技术将与其世界级制程工艺相结合,助力客户释放创新力,走向计算新时代。

在本周于旧金山举办的SEMICON West大会上,英特尔的工程技术专家们介绍了英特尔先进封装技术的最新信息,并推出了一系列全新基础工具,包括将EMIB和Foveros技术相结合的创新应用,以及全新的全方位互连(ODI, Omni-Directional Interconnect)技术。英特尔的全新封装技术将与其世界级制程工艺相结合,助力客户释放创新力,走向计算新时代。

 

英特尔公司集团副总裁兼封装测试技术开发部门总经理Babak Sabi表示:“我们的愿景是利用先进技术将芯片和小芯片封装在一起,达到单晶片系统级芯片的性能。异构集成技术为我们的芯片架构师提供了前所未有的灵活性,使之能够在新的多元化模块中将各种IP和制程技术与不同的内存和I/O单元混搭起来。英特尔的垂直集成结构在异构集成的时代独具优势,它赋予了我们无与伦比的强大能力,让我们能够对架构、制程和封装同时进行优化,从而交付领先的产品。”

芯片封装在电子供应链中看似不起眼,却一直发挥关键作用。作为处理器和主板之间的物理接口,封装为芯片的电信号和电源提供了一个着陆区。随着电子行业正在迈向以数据为中心的时代,先进封装将比过去发挥更重大的作用。

封装不仅仅是制造过程的最后一步,它正在成为产品创新的催化剂。先进的封装技术能够集成多种制程工艺的计算引擎,实现类似于单晶片的性能,但其平台范围远远超过单晶片集成的晶片尺寸限制。这些技术将大大提高产品级性能和功效,缩小面积,同时对系统架构进行全面改造。

作为先进封装技术的领导者,英特尔能够同时提供2D和3D封装技术。在SEMICON West大会上,英特尔分享了三项全新技术,将为芯片产品架构开启一个全新维度。

Co-EMIB:英特尔的EMIB(嵌入式多芯片互连桥接)2D封装 和 Foveros 3D封装技术利用高密度的互连技术,实现高带宽、低功耗,并实现相当有竞争力的I/O密度。而英特尔的全新Co-EMIB技术能将更高的计算性能和能力连接起来。Co-EMIB能够让两个或多个Foveros元件互连,基本达到单晶片性能。设计师们还能够以非常高的带宽和非常低的功耗连接模拟器、内存和其他模块。(Co-EMIB技术视频)

ODI:英特尔的全新全方位互连技术(ODI)为封装中小芯片之间的全方位互连通信提供了更大的灵活性。顶部芯片可以像EMIB技术下一样与其他小芯片进行水平通信,同时还可以像Foveros技术下一样,通过硅通孔(TSV)与下面的底部裸片进行垂直通信。ODI利用大的垂直通孔直接从封装基板向顶部裸片供电,这种大通孔比传统的硅通孔大得多,其电阻更低,因而可提供更稳定的电力传输,同时通过堆叠实现更高带宽和更低时延。同时,这种方法减少了基底晶片中所需的硅通孔数量,为有源晶体管释放了更多的面积,并优化了裸片的尺寸。(ODI技术视频)

MDIO:基于其高级接口总线(AIB)物理层互连技术,英特尔发布了一项名为MDIO的全新裸片间接口技术。MDIO技术支持对小芯片IP模块库的模块化系统设计,能够提供更高能效,实现AIB技术两倍以上的响应速度和带宽密度。

 

这些全新技术共同扩充了英特尔强大的工具箱。它们将与英特尔的制程技术相结合,成为芯片架构师的创意调色板,让他们能够自由设计出创新产品。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

业内消息,近日英特尔表示其已成为第一家完成组装荷兰ASML的新型“High NA”(高数值孔径)EUV(极紫外)光刻设备的公司,目前已转向光学系统校准阶段。这是这家美国芯片制造商超越竞争对手的重要举措。

关键字: 英特尔 ASML EUV 光刻机

近日,英特尔联合华铭、锐宝智联和育脉共同打造了融合掌静脉特征识别技术的智能城市轨道交通自动售检票系统(AFC)方案,将掌静脉特征识别技术应用于城市轨道交通场景,实现了轨道交通自动售检票系统的技术革新。

关键字: 英特尔 智慧交通

业内消息,继此前正式公布新一代AI加速芯片 Gaudi 3 之后,英特尔拟另准备针对中国市场推出“特供版”Gaudi 3,包括名为HL-328的OAM相容夹层卡(Mezzanine Card )和名为HL-388的PCl...

关键字: 英特尔 特供芯片 芯片 Gaudi3

发布AI开放系统战略,展示与新客户、合作伙伴跨越AI各领域的合作。

关键字: AI 英特尔 处理器

2024年4月10日,苏州——英特尔与苏州阿普奇物联网科技有限公司联合举办2024阿普奇生态大会暨新品发布会。会上,阿普奇携手英特尔及其他行业专家共同发布了阿普奇E-Smart IPC新一代旗舰产品AK系列,该系列采用英...

关键字: 数字化 英特尔 处理器

当地时间 4 月 9 日,英特尔在 Vision 2024 客户和合作伙伴大会上正式宣布推出最新的芯片产品 Gaudi 3 AI 加速卡及全新的Xeon 6 处理器。另外,英特尔还公布了针对边缘平台的新品发布计划与 AI...

关键字: 英特尔 AI 芯片 英伟达 H100

英特尔,开始正面硬刚英伟达了。

关键字: 英特尔 英伟达 芯片

4月8日消息,据媒体报道,特斯拉CEO马斯克在其个人账号上发文表示,特斯拉今年将在自动驾驶领域的投入将超过100亿美元。

关键字: 自动驾驶 英特尔

人工智能冲击就业?大型科技企业开始行动。近日,思科、谷歌、IBM、Indeed、Eightfold、埃森哲、英特尔、微软和SAP等科技公司,以及6家顾问机构,联合成立了“AI使能的ICT劳动力联盟”。

关键字: 思科 IBM 英特尔 ICT人才联盟 AI

业内消息,外媒称英特尔本周对其销售和营销部门进行了新一轮裁员,具体裁员人数未知。英特尔发言人上周确认公司进行了裁员,但没有提供更多细节。

关键字: 英特尔 裁员
关闭
关闭