当前位置:首页 > 公众号精选 > 嵌入式微处理器
[导读]首先,FPGA开发工程师是一个相对高薪的工作,但是,很多同学在刚入门时都会有一种无从下手的感觉,尤其是将FPGA作为第一个要掌握的开发板时,更是感觉苦恼;本人也是如此,以下就本人学习FPGA开发的一些

首先,FPGA开发工程师是一个相对高薪的工作,但是,很多同学在刚入门时都会有一种无从下手的感觉,尤其是将FPGA作为第一个要掌握的开发板时,更是感觉苦恼;本人也是如此,以下就本人学习FPGA开发的一些情况做一些介绍,希望后来者能够少走弯路。

第一次接触FPGA是在本科毕设中,那时候选毕设课题得用抢的,盯着电脑等毕设选题时间一到,大家都开始抢,博主那时候刚好在北京参加一个飞行员招聘,所以很悲催的就被遗弃了,还好当时的舍友打电话告知要选题,要不然能不能毕业都难说,当时舍友帮我念着所剩无几的毕设题目让我进行选择,最后在万般纠结下就随便选了一个与FPGA相关的,具体题目是做步进电机控制的,现在回想起来当时选的这个题目真的不是很难。也可能当时的认知比较低吧,很多东西都没学会,整个毕设里也就做了个分频器、按键、led灯指示仅此而已。

下定决心要学习FPGA是在研究生刚开学的时候,当时,对研究生学习没有一点的目标和想法,整天纠结于是学算法、软件还是硬件上了,纠结来纠结去,马上就到了研一要结束了,作为一个2年制的专硕来说,这个时间点没学到东西是很尴尬的;就在7月15号左右,我才正式开始了FPGA的开发学习(这里说一下本人毕竟在本科做过FPGA相关设计,所以要捡起来也是比较容易知道学什么的,而且在研一期间还自学了Verilog语言,还有一部分数电,感觉这些在接下来的学习都是很有用的)。

啰嗦完毕,接下来介绍具体怎么入手;首先,个人感觉总结的一套套路是:知道怎么用QuartusII软件之后,直接上例程(比如电灯、流水灯、数码管等);然后再学习Verilog代码的编写,其次,学会使用Modelsim的使用,接下来学习怎么编写TestBench测试文件;接下来就是自己找各种视频中的小项目自己去做,具体流程如下:建立新工程、编写Verilog设计文件、保存到相应位置(注意这个位置是在工程文件夹下面的,而且工程文件和设计文件都不能使用中文)、编译、修改错误、引脚设置、未用引脚设置(三态)、再次编译、设置生成测试文件的位置、生成测试文件、对测试文件进行改写(一般生成的测试文件都是不能用的,需要设计人员去设计输入激励)、编写完成后保存、调用RTL仿真观看仿真波形、如果功能无误后下载到板子里,一般在调试的时候都用JTAG调试,除非要实现代码固化的时候用AS下载,这些基本上就是做FPGA前端开发的整体流程了。

至于之后的signaltapII静态时序仿真,博主没做过,所以不做具体评论。相信新手们只要按照我所写的具体流程去做,哪一块不会就去找哪一快的资料,相信用不了2个月基本的入门是没有问题的。

还有就是,个人感觉Verilog语言中重点需要掌握的就是一下这几个语句结构:always写时序和组合逻辑,assign写组合逻辑,条件语句if  

  else,以及选择语句case,还有仿真测试文件里经常使用的initial语句。还有就是需要掌握的几个代码:计数器编写、状态机编写、FIFO编写、打两拍编写。接下来就是要掌握的外围器件的驱动和接口等,比如UART,IIC,SPI,VGA等,这里强烈推荐明德扬的视频,讲的很好,还有就是建议学一下基于FPGA的图像边缘检测系统设计,这里面涵盖了很多需要学习的东西,基本把这个项目搞定的话,找工作和FPGA开发都不会有太大的问题,在该项目中具体用到的比如:FPGA与OV7670摄像头的接口设计,数据采集,图像处理算法,SDram的读写,VGA的显示等。具体项目介绍在潘文明老师出的手把手教你学FPGA设计那本书里面的第八章都有将到,不过具体代码都是需要读者自己编写的。

FPGA之Verilog点灯小程序  

话不多说,直接做介绍:

首先个人用的是QuartusII11.0,开发板用的是CycloneIV的EP4CE6E22C8N芯片。

led灯使用的是低电平有效;

要执行的功能是:上电灯就亮,这里使用的是四个led灯;


具体代码如下:

由于功能上是只要上电就亮,所以就不需要时序控制了,那么就是一个组合逻辑电路;



嵌入式ARM

扫描二维码,关注更多精彩内容

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
关闭
关闭