当前位置:首页 > 工业控制 > 电子设计自动化

1引言
随着电子技术的发展,现场可编程门阵列FPGA和复杂可编程逻辑器件CPLD的出现,使得电子系统的设计者利用与器件相应的电子CAD软件,在实验室里就可以设计自己的专用集成电路ASIC器件。这种可编程ASIC不仅使设计的产品达到小型化、集成化和高可靠性,而且器件具有用户可编程特性,大大缩短了设计周期,减少了设计费用,降低了设计风险。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能要求,自上至下地逐层完成相应的描述﹑综合﹑优化﹑仿真与验证,直到生成器件,实现电子设计自动化。其中电子设计自动化(EDA)的关键技术之一就是可以用硬件描述语言(HDL)来描述硬件电路。VHDL是用来描述从抽象到具体级别硬件的工业标准语言,它是由美国国防部在80年代开发的HDL,现在已成为IEEE承认的标准硬件描述语言。VHDL支持硬件的设计、验证、综合和测试,以及硬件设计数据的交换、维护、修改和硬件的实现,具有描述能力强、生命周期长、支持大规模设计的分解和已有设计的再利用等优点。利用VHDL这些优点和先进的EDA工具,根据具体的实际要求,我们可以自己来设计串口异步通信电路。

2串口异步通信的帧格式和波特率

2.1串行异步通信的帧格式
在串行异步通信中,数据位是以字符为传送单位,数据位的前、后要有起始位、停止位,另外可以在停止位的前面加上一个比特位(bit)的校验位。其帧格式如图1所示。


起始位是一个逻辑0,总是加在每一帧的开始,为的是提醒数据接收设备接收数据,在接收数据位过程中又被分离出去。数据位根据串行通信协议,允许传输的字符长度可以为5、6、7或8位。通常数据位为7位或8位,如果要传输非ASCII数据(假如使用扩展字符设置的文本或者二进制数据),数据位格式就需要采用8位。数据位被传输时从一个字符的最低位数据开始,最高位数据在最后。例如字母C在ASCII表中是十进制67,二进制的01000011,那么传输的将是11000010。校验位是为了验证传输的数据是否被正确接收,常见的校验方法是奇、偶校验。另外校验位也可以为0校验或者1校验,即不管数据位中1的个数是多少,校验位始终为0或者1,如果在传输的过程中校验位发生了变化,这就提示出现了某类错误。不过,在传输数据的时候,也可以不用校验位。停止位,为逻辑1,总在每一帧的末尾,可以是1位、1.5位或者2位。最常用的是1位,超过1位的停止位通常出现在这样的场合:在处理下一个即将发送来的字符之前接收设备要求附加时间。

2.2串行异步通信的波特率
串行口每秒发送或接收数据的位数为波特率。若发送或接收一位数据需要时间为t,则波特率为1/t,相应的发送或接收时钟为1/tHz。发送和接收设备的波特率应该设置成一致,如果两者的波特率不一致,将会出现校验错或者帧错。

3串行发送电路的设计

为简化电路设计的复杂性,采用的帧格式为:1位开始位+8位数据位+1位停止位,没有校验位,波特率为9600。

3.1波特率发生器的设计
要产生9600波特率,要有一个不低于9600Hz的时钟才可以。为产生高精度的时钟,我选了6MHz(6M能整除9600)的晶振来提供外部时钟。当然,你也可以选其它频率的时钟来产生9600Hz的时钟。对于6MHz时钟,需要设计一个625进制的分频器来产生9600波特率的时钟信号。用VHDL设计分频器较简单,在这里就不再给出源程序了。

3.2发送电路的设计
根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,然后等候下次的发送。下面是实现上述功能的VHDL源程序:

libraryieee;

useieee.std_logic_1164.all;

entityComis

port(clk,en:instd_logic;

Send_data:instd_logic_vector(9downto0);

serial:outstd_logic);

endcom;

architecturecom_arcofcomis

begin

process(clk)

variablecount:integerrange0to9:=0;

begin

ifen=‘0‘then

count:=0;

serial<=‘1‘;

elsifrising_edge(clk)then

ifcount=9then

serial<=Send_data(9);

else

serial<=Send_data(count);

count:=count+1;

endif;

endif;

endprocess;

endcom_arc;

其中,Send_data(0to9)表示需要发送的数据帧,发送时,开始位Send_data(0)必须为逻辑0,停止位Send_data(9)必须为逻辑1,否者与硬件电路连接的设备接收到的数据会出现错误。在发送每一帧之前,首先给输入端en一个低电平脉冲,让电路复位(count置0),然后开始发送。变量count在进程中用来记录发送的数据数目,当数据帧发送完后,发送端就一直发送停止位(逻辑1)。

3.3时序仿真
选EDA工具,对VHDL源程序编译。用的是Altera公司的MAX+plusII9.3Baseline,这个工具支持VHDL的编译、仿真。图2是编译后的仿真结果,其中,Clk为频率9600Hz的时钟,Send_data0为开始位,Send_data[8..0]为数据位,Send_data9为停止位。结果显示,输出完全是按数据帧格式发送的。

4串行接收电路的设计
接收电路比发送电路要复杂,接收电路要时实检测起始位的到来,一旦检测到起始位到,就要将这一帧数据接收下来。为提高接收的准确性,减少误码率,每一位数据都用3倍频的波特率对数据进行采样(如图3所示),然后对3次采样结果进行判决:如果3次采样中至少有2次为高电平,则接收这一位数据被判决为高电平,否者,为低电平。

4.1波特率发生器和采样时钟的设计
为完成3次采样,除了频率为9600Hz的接收时钟外,还要有一个3倍频的采样时钟。下面是实现上述功能的VHDL源程序:

libraryieee;
useieee.std_logic_1164.all;

entitycount625is

port(clk,en:instd_logic;Clock1,Clock3:outstd_logic);

endcount625;

architecturecount625_arcofcount625is

begin

process(clk,en)

variablecount:integerrange0to625:=0;

begin

ifen=‘0‘then

NUll;

elsif(rising_edge(clk))then

count:=count+1;

ifcount=625then

Clock1<=‘1‘;count:=0;

else

Clock1<=‘0‘;

endif;

if(count=100orcount=300orcount=500)then

Clock3<=‘1‘;

else

Clock3<=‘0‘;

endif;

endif;

endprocess;

endcount625_arc;

其中clk为6MHz的时钟;en控制波形的产生;Clock1为9600Hz的接收时钟;Clock3为3倍频的采样时钟。

4.2接收电路的设计
串行接收电路首先要能判断接收数据的到来,即每一帧的开始,然后对数据进行3次采样,最后判决输出。为简化设计,帧格式仍然采用1位开始位+8位数据位+1位停止位。下面是设计的接收电路VHDL程序:

libraryieee;

useieee.std_logic_1164.all;

entitycom_receive10is


port(com,clr,clk1,clk3:instd_logic;Q:outstd_logic_vector(0to9);Valid:outstd_logic);
endcom_receive10;

architecturecom_receive10_arcofcom_receive10is

SignalEnable:std_logic:=‘1‘;

SignalHold:std_logic:=‘0‘;

SignalN:std_logic_vector(0to2):="000";

begin

Valid<=EnableandHold;

process(clk1,clr)

variableNum:integerrange0to9:=0;

begin

ifclr=‘0‘then

Enable<=‘1‘Num:=0;Q<="0000000000";

elsif(rising_edge(clk1))then

Q(Num)<=(N(0)andN(1))or(N(1)andN(2))or(N(0)andN(2));

ifNum=9then

Enable<=‘0‘;Num:=0;

else

Num:=Num+1;

endif;

endif;

endprocess;

process(clk3,clr)

variablem:integerrange0to2:=0;

begin

ifclr=‘0‘then

m:=0;

elsif(rising_edge(clk3))then

N(m)<=com;

ifm=2then

m:=0;

else

m:=m+1;

endif;

endif;

endprocess;

process(clr,com)

begin


ifclr=‘0‘then
Hold<=‘0‘;

elsiffalling_edge(com)then

Hold<=‘1‘;

endif;

endprocess;

endcom_receive10_arc;

其中,N(m)<=com用来对波形采样;Q(Num)<=(N(0)andN(1))or(N(1)andN(2))or(N(0)andN(2))是对其中1位数据的3次采样结果判决;Num用来记录接收的数据位数;falling_edge(com)是用来时实检测每一帧的起始位(即下降沿)的到来;Valid<=EnableandHold用来输出到波特率发生器电路单元控制时钟的产生,最后将一帧的10位数据输出。

用MAX+plusII9.3Baseline将上面两个VHDL文件制成库器件,然后在电路图上调出来,最后做成的串行接收电路图如图4所示。


4.3时序仿真
时序仿真如图5所示,Receive为接收到的序

列波形,最后结果:接收到的数据位为6D,起始位为0,停止位为1。

5结束语

VHDL语言设计的出现从根本上改变了以往数字电路的设计模式,使电路设计由硬件设计转变为软件设计,这样提高了设计的灵活性,降低了电路的复杂程度,修改起来也很方便。利用VHDL设计的灵活性,根据串行通信协议的要求,可以在实验室利用先进的EDA工具,用VHDL设计出符合自己实际需求的异步串行通信电路。

本文设计出的基于VHDL异步串行通信电路,在实验室已经与计算机串口RS-232进行了通信实验(注意:TTL和RS-232逻辑电平的转换)。实验证明,0至255的所有数据都能被正确收、发。

参考文献:

[1]ARMSTRONGJR,FRAYFG.VHDL设计表示和综合[M].李宗伯,王蓉晖译.北京:机械工业出版社,2002.

[2]SKAHILLK.可编程逻辑系统的VHDL设计技术[M].朱明程,孙普译.南京:东南大学出版社,1998.

[3]仇玉章.微型计算机系统接口技术[M].南京:江苏科技出版社,1997.

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

D类音频放大器参考设计(EPC9192)让模块化设计具有高功率和高效,从而可实现全定制、高性能的电路设计。

关键字: 音频放大器 电路设计

设计工程师为了解决ISI问题,常常需要在链路损耗和均衡技术之间做出综合考虑。泰克的SDLA软件不仅可以模拟发送端的Tx EQ和接收端的Rx EQ,还能模拟传输链路的不同损耗。

关键字: 通信 示波器 测试测量

5G网络相较于4G网络,具有更高的数据传输速率,能够支持更高的峰值速率,理论上峰值传输速率可以达到10Gbit/s,这比4G网络快了大约10到100倍。

关键字: 5G 通信 4G网络

泰克实时示波器支持TekExpressLVDS自动测量软件,涵盖LVDS时钟和数据的30多个测量项目,一键完成一致性测量。

关键字: 通信 示波器 测试测量

可调电容作为一种重要的电子元器件,在电路设计中具有广泛的应用。本文将对可调电容的基本概念、工作原理、调用方法以及应用场景进行详细探讨,旨在帮助读者更好地理解和应用可调电容。

关键字: 可调电容 电子元器件 电路设计

2024年2月26日,国际通信行业盛会MWC 24于西班牙巴塞罗那召开,全球通信及其相关供应链的顶尖企业荟聚一堂,展示移动通信领域的前沿研究成果,与国际行业同仁展开深入技术交流。三安集成作为射频前端整合解决方案服务提供商...

关键字: 三安集成 射频 通信

在意大利媒体对沃达丰的并购交易提出多种猜测之后,该公司2月28日发布声明称,正在就将沃达丰意大利公司(Vodafone Italy)出售给瑞士电信进行独家谈判,但尚未达成有约束力的协议。

关键字: 沃达丰 瑞士电信 通信 宽带

即将于2024年6月竣工交付的华为上海研发基地(青浦),不仅是上海市重点工程,也是长三角一体化示范区西岑科创中心的亮点项目。

关键字: 华为 通信 芯片

近日,国内新一代激光陀螺驱动系列功能芯片问世,由湖南二零八先进科技有限公司(下简称“二零八公司”)技术团队研发。相比行业内普遍应用的上一代激光陀螺驱动控制电路,激光陀螺驱动专用芯片降低了电路设计难度,大幅减小体积重量,实...

关键字: 激光陀螺仪电路 芯片 电路设计

全球4G和5G的部署速度比商业服务的推进速度更快,6G预计到2030年也会到来,电信运营商如何以正确姿势迎接未来?

关键字: AI 通信 5G 6G
关闭
关闭