当前位置:首页 > 测试测量 > 测试测量
[导读]在快速发展的蜂窝通信市场中,数字系统大约在十年前就已经取代了模拟系统,并已经从频分多路复用(FDMA)和时分多路复用(TDMA)系统发展成为GSM和CDMA系统。数字通信系统已在生活中得到了广泛的应用,它们在能源消耗、频

在快速发展的蜂窝通信市场中,数字系统大约在十年前就已经取代了模拟系统,并已经从频分多路复用(FDMA)和时分多路复用(TDMA)系统发展成为GSM和CDMA系统。数字通信系统已在生活中得到了广泛的应用,它们在能源消耗、频谱效率、质量和成本等方面具有明显的优势,以至于因此整个模拟电视的基础设施都要被更换成数字通信的方式。因为大量的数字通信系统构架采用直角坐标系下的I/Q信号(即同相信号和正交信号)来描述数据符号,所以工程师们必须能够生成准确的基带I/Q信号以进行研究、设计和生产测试。

在研究中,灵活的I/Q发生系统是快速原型化并评估新型调制方法和收发装置性能的关键。在新产品设计中,I/Q信号将测试大量I/Q调制器/解调器的物理层参数,如相位和幅度平衡、直流偏置、输入压缩点。生产中也会测试这些参数,以确保增益误差和相位误差最小。增益和相位这两个参数对于降低误差的向量幅度和正确传输数据来说是至关重要的。

任意波形发生器——如NI 5421, 以NI的同步和内存核(Synchronization and Memory Core,SMC)架构为基础,在生成用于数字通信系统设计和测试的基带I/Q信号方面,具有多个好处。NI 5421发生器具备一下特性:
· 多模块同步,来独立控制相位、幅度以及I-、I+、Q-、Q+信号的偏置
· 2倍、4倍或8倍的数据插值,可获得最高400 MS/s的有效采样速率
· 采用PCI总线快速下载测试波形,提高了测试吞吐率
· 大的板载波形内存,用于播放长时间信号

最灵活的同步功能

一般的I/Q应用除了要求最小失真和低抖动外,还要求能精确控制信号的幅度、相位和直流偏置。幅度、相位、偏置这三个参数的值在调制器测试中经常改变。调制器/解调器的输入电路一般是差分电路,包括I-、I+和Q-、Q+信号。尽管可以通过一台AWG(任意信号发生器)和变压器来生成一个差分信号,但是这里却必须生成四个相互独立的差分信号,来充分测试电路设计,并明确地控制三组差分信号对(I-与I+、Q-与Q+、I/Q信号对)中的相位、幅度和偏置这三个参数。传统的I/Q发生器无法在一组差分对中调整参数,而只有通过同步多个独立的AWG才能实现这种灵活性。但是,如果要同步多个不同的AWG以生成差分信号,通道和通道间的偏移和抖动将会使差分信号失真,因此必须对它们进行衰减。

合适的同步要求具备精准的采样时钟偏移控制、触发传递和偏移控制、低抖动参考时钟。这种同步在传统的基于GPIB的AWG中通常难以实现或者无法实现,而必须辅助以一些外部电缆和参考时钟,即使这样,结果可能还是有问题的。PXI平台中内建的触发线和10MHz的参考振荡器,使得仪器间可靠同步更容易实现。另外,NI的T-Clock同步方法(已提出专利申请)提供了一种调整采样时钟偏移的方法,调整步长为20ps左右,以消除触发偏移的影响。

T-Clock多模块同步

因为NI 5421设备建立在SMC架构上,所以能够提供精准的T-Clock同步(请见NI同步和存储核:一种现代的混合信号测试架构)。T-Clock中,时钟触发信号的收发速度要远低于AWG的采样时钟速度。为了生成这种时钟信号(称为T-Clk),每台设备上的采样时钟都被分别降到低于10MHz的频率上。采用时间数字转换器(TDC)来测量T-Clk相对于10 MHz PXI参考时钟的偏移量,从而自动对齐每台设备上的T-Clk信号。要发送一个开始触发信号,主AWG发出一个与T-Clk下降沿同步的触发线脉冲信号。所有的接收端AWG(包括主AWG自身)接收触发脉冲,并在下一个T-Clk的上升沿开始生成信号。因为T-Clk的周期等于或大于100ns,所以在下一个上升沿到来之前,有足够的时间将触发脉冲传送到所有设备上,从而确保所有的发生器在同一个时刻开始。

这种方法使得通道间的偏移量≤500ps。要获得更低的偏移,可以将AWG的输出连接到一台多通道、高带宽的示波器上,相位测量的结果比板载TDC更准确。最简单的相位测量方法是通过配置AWG来生成正弦波或方波,然后在电压过零点处检验相差。接着,将测量结果输入到NI的T-Clock软件中,覆盖TDC的测量结果。采用外部示波器的测量结果,偏移可以降低到10到20ps。图1显示了两个同步的PXI-5421模块的输出,在手动调整了采样时钟延时后,生成10MHz的正弦波。该图显示,偏移几乎在10ps到20ps之间。在10MHz频率上,10ps的偏移量相当于0.036度的相位——小于大多数的I/Q应用所要求的0.1度。使用采样时钟的延时调整值,若调整步长小于20ps,则偏移最多只改变±1个采样时钟周期。如果需要更大的相位调整,那么对于正相位,可以将采样从某个波形的起点移到终点;对于负相位,可以将采样从某个波形的终点移到起点。这种控制方法比较粗糙,但是采样时钟延时调整则提供了比较精细的控制。

图1. 两个PXI-5421模块生成10MHz的频率,其通道间的偏移小于20ps

PXI-5421中由模拟设备AD9852 DDS(直接数字频率合成)芯片所提供的高分辨率时钟模式,可以大幅度提高采样时钟延时调整中不到20ps的分辨率。AD9852中有一个14-bit的可编程相位偏置寄存器,能以(采样时钟周期/16384)秒的步长来调整采样时钟的相位。例如,如果采样时钟频率是100 MS/s,则可以以610fs(飞秒)的步长来调整相位。但是,采用高分辨率时钟时(假定此时PXI-5421系统的抖动为4ps左右),这种精准的相位控制只能通过大量输出波形周期上的所测得相位的直方图来观察。这是因为DDS时钟发生中固有的时钟抖动值更大,所以限制了高分辨率时钟的使用。这种抖动导致了I/Q信号相位噪声的增加。在载波频率±10kHz位置上,分频时钟模式的相位噪声为-137 dBc/Hz;所以,为了尽量实现最优性能的相位噪声,不妨使用这种分频时钟模式。

高分辨率时钟不仅提供了精准的相位偏置控制,而且提供了1.06 µHz的采样时钟频率调整分辨率,这是获得合适的数字通信系统芯片速率的前提。例如,WCDMA和CDMA2000的芯片/符号速率分别是3.84MHz和1.2288MHz。通常,这些信号的每个符号采用4个采样值,所以采样率分别为15.36MHz和4.9125MHz。PXI-5421的高频分辨率可以为波形生成合适的采样率,并且在接收端压力测试中,准确改变回放频率以测试接收端的频率灵敏性。

因为差分信号是由两个独立的AWG生成的,所以通道间的抖动是失真的主要原因,因此抖动应当越低越好。为了测量这种抖动,我们将两台生成10MHz方波信号的AWG连接到Tektronix CSA8000的通信信号分析仪上。其中一个方波信号从外部触发信号分析仪,另外一个则连接到CH 0通道上。图2中显示了过零点处的抖动直方图。抖动的均方根值为2.954ps,而且95.7%的数据处于均值的±2σ范围内。另外,该直方图呈高斯分布,意味着抖动可能来自于电子元件中的随机噪声过程。

图2. PXI-5421通道间的抖动是2.954ps。

除了T-Clock的同步性能非常好外,NI T-Clock的应用程序接口(API)还提供了一些便捷的函数,可以用于4台AWG的同步。第一台虚拟仪器将所有设备锁相到PXI的10 MHz参考时钟上,并配置开始触发。第二台虚拟仪器执行T-Clock对齐,使所有AWG的T-Clk信号同步。接着,开始生成信号,直至信号发生结束才终止程序。图3中给出了一个简单的例子。

图3. 四台虚拟仪器执行必要的工作以准确同步AWG。

使用射频变压器生成差分信号

有些产品测试系统的差分I/Q信号对中并不需要独立的信号相位、幅度和直流偏置控制。对这些应用来说,两台单通道的AWG加上一些外部的信号整形电路,就足以完成任务。在这种配置下,可以对I和Q信号之间的相位、幅度和直流偏置进行控制,而不仅仅局限于I-、I+和Q-、Q+差分对之间。

所需的外部整形电路非常简单。使用一台射频变压器,将单端AWG的输出转换成平衡的差分信号。若采用中心抽头的变压器,还可以利用低成本的模拟输出模块在该平衡信号上加上一个直流偏置。

选择射频变压器时,一个重要的规范就是插入损耗,即从变压器的输入端到输出端的损耗功率的比例。插入损耗随着输入频率的变化而变化,因此信号在预期带宽内将发生失真。因此,必须选择一种在信号带宽上具有低插入损耗的变压器。

另外,要选择中心抽头的二次绕组变压器。将中心抽头连接到模拟输出模块上(如NI PXI-6704的16-bit模拟输出模块),可以在平衡信号上加一个直流偏置。因为大多I/Q应用都需要±1.5 V的直流偏置,所以在PXI-6704的输出端使用一种电阻性的分压电路,降低其±10 V的输出电压,从而确保可以在较小的电压范围内实现满幅的16-bit幅度控制。

因为中心抽头的前后两段绕组很少相同,所以要在电路中加入一个旁路电容,将中心抽头连接到交流地,从而维持变压器的平衡。完整的电路如图4所示。

图4. 利用中心抽头的射频变压器、分压电路和电容,采用单台AWG生成差分信号。

插入损耗和阻抗不匹配使得变压器输出端的信号幅度比AWG输出端的期望幅度要小。如果插入损耗在预期频率范围内为一常量,则可以用一个电阻对其进行模型近似。将该电阻加到变压器的输入阻抗上,在变压器输出端计算有效阻抗。NI-FGEN驱动函数使用该值来调整NI 5421的输出电压,从而补偿变压器与NI 5421的50 Ω输出阻抗之间的阻抗不匹配。

用于改善频谱纯度的数据插值

I/Q信号发生应用对信号发生器的频谱纯度提出较高的要求。为了最小化数模转换信号重构时的镜像失真,NI 5421发生器采用数字滤波器和模拟滤波器的组合,对通带平坦性、相位线性性和镜像抑制进行优化。

DAC的采样频率最低必须是期望生成的模拟信号带宽的两倍。尽管理论上采样频率fs最低是信号带宽fo的两倍,但是输出信号中,|fo± nfs|上也会出现镜像成分,如图5所示。这些镜像成分会降低信号的频谱纯度,因此必须采用低通滤波器滤除。

图5. 数模转换信号重构时将生成非预期的采样镜像成分

为了理解信号插值及其对频谱纯度的影响,不妨假设有三个不同的模拟滤波器,它们的截止频率和阶数都不相同。图6中给出了这三个滤波器及其采样镜像。 “模拟滤波器1”是理想的模拟滤波器。因为该滤波器的衰减非常陡峭,所以实现成本最高,而且需要大量的电路板空间。另外,它还无法实现I/Q应用中所需的通带平坦性。模拟滤波器2则是一个更实用的滤波器,但是它无法衰减fs附近的镜像成分。模拟滤波器中,其截止频率后的衰减程度和截止频率前的平坦性,这两个度量之间存在一种平衡关系;因此,如何设定理想的滤波器参数很大程度上取决于DAC的采样速率和生成的波形频率。要想只用一个模拟滤波器来实现可变的采样频率和输出信号频率,并满足各种严格的性能要求,这几乎是不可能的。

模拟滤波器另外一个关键的指标是群延时,即有限时间长度的信号(如脉冲信号)通过模拟滤波器所需的时间。具有线性群延时的理想滤波器中,信号中的所有频率成分都具有相同的延时,所以输出信号的相位不会失真。

第三个滤波器即模拟滤波器3,其截止频率比前面两个滤波器都要高得多。因为截止频率非常高,所以滤波器的通带(0到0.43fs)非常平坦。fs和2fs处的镜像成分落在了滤波器3的通带内,所以根本就没有衰减,但是可以采用数字插值滤波器减轻这种现象。

图6. 必须滤除采样镜像成分以改善频谱质量,但是必须考虑不同的滤波器实现。

为了简化模拟滤波器的要求,并在一系列采样速率和输出频率上得到较好的结果,NI 5421设备使用半带有限脉冲响应数字滤波器,以2倍、4倍或8倍的采样频率(fs)在波形的每两个采样值间插入1个、3个或7个值。因此,有效采样速率等于原采样频率的2倍(2fs)、4倍(4fs)或8倍(8fs)。接着,DAC内部就以该有效采样速率运行——特别地,数据是以该速率从内存中读到DAC上。

图7中,采用2倍插值的滤波器,将DAC的有效采样速率提高到2fs。第一组重构镜像位于|2fs± fo|频率上,落入了滤波器2的止带范围。

图7. 插值操作提高了采样速率,将镜像成分移至更高频率上。

这样,模拟滤波器2可以方便地滤除数字信号发生中的所有镜像成分,如图7中的频率域和图8中的时间域所示。

图8. 时间域上,插值操作可以平滑其它一些尖锐的采样阶跃。

使用2倍插值滤波,将DAC的有效采样速率提高到2fs,可以更好地去除镜像成分,并生成频谱纯度更好的信号。然而,若将插值滤波器提到4倍上,则能进一步改善输出信号。

图9中显示了采用4倍插值操作和有效采样频率为4fs的DAC后,信号的镜像情况。镜像成分被移到4fs上,而4fs大于滤波器3的截止频率。NI 5421中所使用的这种配置,可以去除频谱镜像,并且具有最平坦的通带特性。这种配置已经接近于理想的由数字方式生成频谱纯净的波形的方法了。NI 5421的通带(40MHz)平坦度可以达到±0.25 dB,1MHz上总的谐波失真可以达到-75dB。

图9. 数字插值和模拟滤波器的组合,可以获得最佳的平坦特性和镜像抑制。

采用PCI/PXI减少波形下载时间

数字通信系统的测试波形可能非常大。例如,生成带有阶数等于16的伪噪声序列(PN序列,65,635个符号)的WCDMA信号时,所生成的信号大小为3.15MB。为了提高测量的统计可信度,应该使用更大的PN序列。采用GPIB(IEEE 488总线)来下载大于几百kB的波形时,速度可能会非常慢,而且会严重影响测试的吞吐率。虽然高速GPIB (HS488)是一种IEEE标准,但是几乎没有仪器可以实现8 MB/s的速度传输模式。尽管GPIB标准中规定理论吞吐率为1 MB/s,但是基于GPIB的仪器的吞吐率一般只能达到200-300kB/s。

采用高度优化的驱动和SMC架构,对于大批量数据下载,NI 5421的下载速率可以达到84 MB/s。这个速率可以归功于高吞吐率的PCI总线;但是,与GPIB类似,几乎没有哪块外部插入的板卡其数据传速率可以真的达到PCI吞吐率的理论最大值即132 MB/s。

波形大小
(I16个采样值)NI 5421
的平均时间(s)GPIB AWG
的平均时间(s)PCI/PXI
的平均速度10,0000.0006100.151247x50,0000.0019240.807419x100,0000.0034421.724501x500,0000.0127148.149641x1,000,0000.02500516.460658x

表1. 下载数据到AWG中时,高吞吐率的PXI平台比GPIB要快247~650多倍。

大容量存储器用于生成长时间信号

SMC构架可以为单通道的NI 5421任意波形发生器提供最高256MB的内存。因为每个采样值都是16bit的,所以内存尺寸应该为128MS(按照采样点数计算)。如果采样率为100 MS/s,则播放时间为1.28s。若采用NI 5421的数字插值方法,插值模式选为8倍,则播放时间可以扩展至2.56s。输入至DAC的50 MS/s数字信号,会在转换成模拟信号前先插值至400 MS/s。若要生成网格图和星云图并计算误码率,大数据集将提高测量结果的统计可信度。大内存可以生成尽可能长的非周期信号,从而极大提高测量的真实性。如果使用小内存的AWG、采用循环的方法来生成长信号,则周期信号分量会影响测试结果,使得设备得不到充分的测试。因为伪随机序列是描述通信系统性能的一个重要工具,所以大内存的AWG所生成的长非周期信号对于统计测量非常重要。

创建I和Q数据

有大量工具可以用来生成I和Q波形采样数据。采用数学工具包(如MATRIXx X-Math或MathWorks MATLAB®软件)仿真所得的数据,通常存储到磁盘上。NI LabVIEW和LabWindows/CVI可以读取大量数据,并将它们转换为16位整型或双精度的浮点数——这是NI-FGEN驱动器可以直接接受的两种格式。AWG首先将波形数据归一化到±1 V范围并提取出增益倍数,然后充分利用DAC的所有16位bit,使用前端模拟电子元件对输出信号进行放大或衰减,以确保最优的输出信号质量。

LabVIEW还可以使用NI调制工具包直接生成I/Q数据。该调制工具包采用LabVIEW虚拟仪器来进行模拟和数字信号的调制与解调(如AM、FM、PM、QPSK和QAM)。图10中显示了如何使用工具包为FM信号生成I和Q数据。采用第一个虚拟仪器,选择一种标准波形(如正弦波、方波或三角波)并指定载波频率和频率偏移,生成FM消息信号。第二个虚拟仪器执行调制操作,并返回FM信号的复包络。最后,采用两个虚拟仪器从复包络信号中提取出I和Q数据,并将其下载到AWG上。该工具包还可以调制自定义的消息信号,并提取出调制信号的幅度和相位成分(极坐标形式),从而测试基于极坐标的数字调制器。采用其它调制方法(如QAM和QPSK)的波形发生程序,也可以按照类似的结构实现。

图10. 使用NI LabVIEW的调制工具包,为FM信号生成I和Q波形数据。

为了模拟通道效应,调制工具包中提供了Rayleigh和Rician衰落模型;或者你也可以根据仿真工具的输出,创建自定义的衰落模型。为了严格测试由调制工具包设计的解调器,可以在IQ信号中增加一些干扰如正交偏移和加性高斯白噪声(AWGN),从而更准确地模拟真实的操作环境。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

一直以来,信号发生器都是大家的关注焦点之一。因此针对大家的兴趣点所在,小编将为大家带来任意波形发生器AWG5200的相关介绍,详细内容请看下文。

关键字: 任意波形发生器 RF 电路 调制器

是德科技日前宣布,在 2020 年度 Lightwave 创新奖评选中,两款是德科技产品获得实验室/生产测试设备类别的最高分。

关键字: 是德科技 任意波形发生器 光波元器件

在下述的内容中,小编将会对任意波形发生器的相关消息予以报道,并向大家推荐两款性能不错的任意波形发生器产品。

关键字: 任意波形发生器 AFG31000 AFG-2225

通常MacBook Pro接通电源后电池不充电该怎么解决呐?

关键字: mac充电 mac电源 smc

赋能研发工程师加速开发用于太比特传输系统的高速元器件

关键字: 是德科技 任意波形发生器 awg

R&S公司的R&S SMC100A信号发生器证明:高质量产品也能有低价格。相比同档次的仪器,该信号源外观紧凑(1/2 x 19", 2 HU),却具有极低的单边带相位噪声(SSB)(典型值:-1...

关键字: 100 100a smc 模拟信号发生器

本文中,小编将对任意波形发生器予以介绍,主要在于详细介绍任意波形发生器原理。如果你对本文即将讨论的内容存在兴趣,不妨继续往下阅读哦。

关键字: 任意波形发生器 指数 波形发生器

本文对于波形发生器的介绍,主要在于讲解如何基于CPLD技术和RS-232串口实现任意波形发生器连接设计。如果你对本文即将介绍的内容存在兴趣,不妨继续往下阅读哦。

关键字: 任意波形发生器 指数 波形发生器

本文中,小编将为大家介绍DDS任意波形发生器,主要内容在于探讨如何基于FPGA实现该波形发生器的设计。如果你对波形发生器具有兴趣,不妨继续往下阅读哦。

关键字: 任意波形发生器 指数 波形发生器
关闭
关闭