当前位置:首页 > 工业控制 > 电子设计自动化
[导读]新思科技(Synopsys, Inc.)近日宣布,新思科技Design Platform Fusion 技术已通过三星认证,可应用于其7纳米(nm)低功耗+(LPP-Low Power Plus)工艺的极紫外(EUV)光刻技术。

重点:

·采用Fusion技术的新思科技Design Platform为三星7LPP工艺极紫外(EUV)光刻技术带来功耗、性能和面积方面的优势。

·为基于单次曝光布线,以及连排打孔提供完备的全流程支持,在Design Compiler® Graphical RTL综合,IC Compiler™ II 布局和布线以及PrimeTime®时序signoff中最大程度地实现设计的可布线性。

·工具认证包括与Lynx Design System兼容的可扩展7LPP参考流程,使用64位Arm® Cortex®-A53处理器进行结果质量优化和流程验证。

新思科技(Synopsys, Inc.)近日宣布,新思科技Design Platform Fusion 技术已通过三星认证,可应用于其7纳米(nm)低功耗+(LPP-Low Power Plus)工艺的极紫外(EUV)光刻技术。新思科技Design Platform为基于EUV单次曝光布线和连排打孔提供完备的全流程7LPP支持,以确保最大程度地实现设计的可布线性和利用率,同时最大限度地降低电压降(IR-drop)。新思科技的SiliconSmart® 库表征工具对于研发在该认证工艺上建立参考流程所使用的基础IP非常关键。三星已经认证了新思科技 Design Platform工具和参考流程,该流程与Lynx Design System兼容,配备用于自动化和设计最佳实践的脚本。该参考流程可通过三星Advanced Foundry Ecosystem (SAFE™) 计划获得。

三星电子代工市场营销团队副总裁Ryan Sanghyun Lee表示:“通过与新思科技的深入合作,我们7LPP工艺上的认证和参考流程将为我们共同的客户在设计上实现最低功耗、最佳性能和最优面积。使用经过验证并集成了Fusion技术的新思科技 Design Platform,我们的代工客户可以放心地使用新思科技最先进的EUV工艺量产他们的设计。”

新思科技设计事业部营销与商务开发副总裁Michael Jackson表示:“我们与三星的工具和参考流程合作重点在于使设计人员能够使用三星最新的EUV 7LPP工艺在最高可信度下获得最佳结果质量。采用集成了Fusion技术的新思科技Design Platform,可扩展7LPP参考流程将使设计人员能够轻松实现他们期望的设计和时间目标。”

基于ARMv8架构的64位Arm Cortex-A53处理器被用于结果质量(QoR)优化和流程认证。新思科技Design Platform 7LPP参考流程的关键工具和功能包括:

·IC Compiler II布局和布线:基于EUV单次曝光的布线具备优化的7LPP设计规则支持,以及连排打孔以确保最大的设计可布线性和利用率,同时最大限度地减少电压降。

·Design Compiler Graphical RTL综合:与布局布线结果的相关性,拥塞减少,优化的7LPP设计规则支持以及向IC Compiler II提供物理指导 。

·IC Validator物理signoff:高性能DRC signoff,LVS感知型短路查找器、signoff填充、模式匹配和独特的采用Explorer技术的Dirty Data分析,以及带有DRC自动修复的设计内验证和在IC Compiler II中的准确感知时序的金属填充。

·PrimeTime时序signoff:近阈值超低电压变化建模,过孔变化建模和感知布局规则的工程变更指令(ECO)指导。

·StarRC™寄生参数提取:EUV基于单次曝光模式的布线支持,以及新的提取技术,如基于覆盖率的过孔电阻。

·RedHawk™Analysis Fusion:ANSYS® RedHawk™驱动的在IC Compiler II中的EM/IR分析和优化,包括过孔插入和电网增幅。

·DFTMAX™和TetraMAX® II测试:基于FinFET、单元感知和基于时序裕量的转换测试以获得更高的测试质量。

·Formality®形式验证:基于UPF、带状态转换验证的等价性检查。

目前可通过SAFE™计划获得与新思科技Lynx Design System兼容并经认证的可扩展参考流程。Lynx Design System是一个全芯片设计环境,包含创新的自动化和报告功能,可帮助设计人员实施和监控其设计。它包括一个生产化RTL-to-GDSII流程,可简化和自动化许多关键的设计实现和验证任务,使工程师能够专注于实现性能和设计目标。SAFE™计划提供由三星认证支持并经广泛测试的工艺设计套件(PDK)和参考流程(与设计方法)。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

近日有韩媒称,由于薪资谈判破裂,劳资双方未能缩小对涨薪的意见分歧,三星电子全国工会(NSEU)即日起将发起公司成立以来首次集体行动,工会当天在华城市(Hwaseong)京畿道华城园区的组件研究大楼(DSR)前举行文化活动...

关键字: 三星

美国商务部日前宣布,将向三星提供64亿美元的资助,用于在德克萨斯州建设芯片工厂。

关键字: 芯片工厂 芯片资助 三星

业内消息,昨天美国政府宣布将向三星电子提供至多价值 64 亿美元(当前约合 464.64 亿元人民币)的补贴,而三星电子将在得克萨斯州投资超过 400 亿美元,建设包括 2nm 晶圆厂在内的一系列半导体项目。

关键字: 三星 2nm 晶圆厂

据韩联社报道,上周三星电子发布业绩报告显示,随着芯片价格反弹,预计今年第一季度营业利润同比骤增931.25%,为6.6万亿韩元(当前约合人民币354.6亿元),已经超过了2023年全年营业利润6.57万亿韩元。

关键字: 内存 三星

业内消息,上周韩媒报道三星SDI韩国基兴工厂发生火灾,随后三星SDI消防监督员向消防部门报案,消防部门赶往现场并花了约20分钟扑灭火情,火灾原因初步认定为建筑工地焊接引发,具体损失仍在调查中。

关键字: 三星 半导体

近日,三星电子和工会团体就 2024 年员工工资的上调问题举行了新一轮谈判,尽管双方在韩国劳动部委员会的介入进行了多次协商,但在加薪幅度上再次分道扬镳。

关键字: 三星 半导体

业内消息,近日又外媒报道三星电子有望在美国获得超过 60 亿美元(当前约合人民币 432 亿)的芯片法案补贴。

关键字: 三星

3月13日消息,据显示器供应链调研公司Display Supply Chain Consultant(DSCC)发布的预测数据,今年第一季度,华为折叠屏手机市场份额将首次超过三星电子。

关键字: 三星 半导体 芯片

业内消息,韩媒称三星电子已将西安工厂的NAND闪存开工率至 70%。西安工厂是三星电子唯一处于韩国境外的存储半导体生产基地,月产能为 20 万片 300mm 晶圆,占三星整体 NAND 产量的 40%。

关键字: 三星 NAND 闪存 存储

Mar. 11, 2024 ---- 据TrendForce集邦咨询研究显示,全球智能手机产量在2023年第三季终结连续8个季度的年衰退,至第四季品牌进行年末冲刺以巩固市占率,带动去年第四季智能手机产量同比增长12.1%...

关键字: 智能手机 三星 小米
关闭
关闭