当前位置:首页 > 测试测量 > 测试测量
[导读]   1.引言   数字频率计是通讯设备、计算机、电子产品等生产领域不可缺少的测量仪器。由于硬件设计的器件增加,使设计更加复杂,可靠性变差,延迟增加,测量误差变大。通过使用EDA技术对系统功能进行

  1.引言

  数字频率计是通讯设备、计算机、电子产品等生产领域不可缺少的测量仪器。由于硬件设计的器件增加,使设计更加复杂,可靠性变差,延迟增加,测量误差变大。通过使用EDA技术对系统功能进行描述,运用VHDL语言,使系统简化,提高整体的性能和可靠性。采用VHDL编程设计的数字频率计,除了被测信号的整形部分,键输入和数码显示以外,其他都在一片FPGA上实现,从而让整个系统非常精简,让其具有灵活的现场更改性,在不改变硬件电路的基础上,进一步改进提高系统的性能,使数字频率计具有高速,精确度高,可靠性强,抗干扰等优点,为数字系统进一步的集成创造了条件。

  2.数字频率计的工作原理

  频率测量方法中,常用的有直接测频法、倍频法和等精度测频法。中直接测频法是依据频率的含义把被测频率信号加到闸门的输入端,只有在闸门开通时间T(以ls计)内,被测(计数)的脉冲送到十进制计数器进行计数。直接测频法比其他两个方案更加简单方便可行,直接测频法虽然在低频段测量时误差较大,但在低频段我们可以采用直接测周法加测量,这样就可以提高测量精度了。直接周期测量法是用被测周期信号直接控制计数门控电路,使主门开放时间等于Tx,时标为Ts的脉冲在主门开放时间进入计数器。设在Tx期间计数值为N,可以根据Tx=N×Ts来算得被测信号周期。因此本文采用低频测周,高频测频的方法来提高精度,减小误差。

  3.主要功能模块的实现

  该系统设计的控制器是由状态机实现,通过在不同测量档位,选择合理的时基信号频率降低误差,确定各状态转移条件和状态名,采用低频档位测周,高频档位测频的方法。20MHz晶振送入分频器,分出各档时基信号和其它模块所需的触发信号,分频器将各档时基信号传给状态机,同时待测信号进入状态机,状念机进行状态转换,将量程溢出信号和状态显示信号表征在发光二极管上。如图表1所示。

  

  3.1 状态机模块

  首先对系统复位,如果此时状态机的初始状态为Fl00k,若超量程信号送入状态机,则状态转换到FlM,如果仍有超量程信号则状态转换到F10M,如果仍有超量程信号则状态转换到F100M,如果还有超量程信号则状态转换到Overflow H产生高溢出信号;若欠量程信号送人状态机,则状态转换到P1ms,如果有超量程信号则状态转换到P10ms,如果仍有超量程信号则状态转换到P100ms,如果仍有超量程信号则状态转换到P 1 s,如果还有超量程则状态转换到OverflowL产生低溢出信号。如图1所示。

  

  3.2 计数器模块

  在“待计数信号”的两个时钟周期内完成计数与控制信号(Over与Low)的传输,在量程合适的情况下,还将计数值输出。这两个时钟周期内,第1个时钟周期完成计数,第2个时钟周期完成控制信号的传输与计数值输出。这样做的好处是稳定,将计数与控制信号传输分开进行。避免了一些可能遇到的“时钟跳变”.但这种做法的缺点也很明显,那就是在测周期模式下,假如待测信号是1Hz的,那么系统可能需要2s(两个时钟周期)才能显示正确的数值。

  3.3 十分频模块

  由于1kHz~10kHz的信号无论用测频法还是测周期法都是不可行的,可以采用预分频的方法,将1kHz~10kHz的信号十分频,然后用测周期法测出周期,再计算出频率。

  3.4 同步整形电路模块

  通过同步整形电路处理外部的异步信号,超量程和欠量程。源程序如下:

  library ieee;use ieee.std_logic_1164.all;entity SignalLatch is4.系统的功能仿真和验证分析。

  据状态转换图,这里将状态机的程序分成两个进程,进程1完成状态转移过程,进程2控制各状态下的输出值如下图2所示,timecounter=clocktested为50KHz.

  

  为了方便观察,将数值改小,计数值大于100且小于或等于1000时输出!将clock1设为50K,clock2计数时钟设为5M,得出仿真如图3所示Result为100符合计数要求。

  

  如图4所示给clk1一个5KHz的频率,经过十分频后clk2输出0.5KHz,clk1的周期是0.2ms,经过十分频后是2ms

  

  同步整形电路仿真如图5所示。

  

  由以上模块进行仿真得出了频率的测量。如图6、7、8所示。

  

  5.结论

  本方案所设计的数字频率计占用FPGA芯片资源较少,成本较低,减少了电路的尺寸,具有一定的实用价值。利用QuartusII平台进行了仿真和硬件测试,基本达到了设计的要求。从而证实了本方案的具有较好可靠性,灵活性以及实用性

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

数字频率是指数字化信号中重复发生的周期性事件的数量。在数字信号处理中,频率通常被表示为离散的样本频率,单位为赫兹(Hz)。数字频率是指数字信号中每秒相继重复的样本数。例如,如果一个数字信号每秒钟重复了1000次样本,那么...

关键字: EDA技术 数字频率计

一、任务设计并制作一台数字显示的简易频率计。二、要求1.基本要求   (1)频率测量   a.测量范围 信号:方波、正弦波;幅度:0.5V~5V;频率:1Hz~1MHz   b.测量误差≤0.1%   (2)周期...

关键字: 数字频率计

要求使用定时/计数器1作定时用,定时1s;定时/计数器0作计数器用,被计数的外部脉冲从P3.4(T0)接入。单片机将在1s内对脉冲计数并送四位数码管显示,最大计数显示值为0FFFFH。求程序。;=============...

关键字: 51单片机 数字频率计

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起来的...

关键字: cpld/fpga vhdl语言 电源技术解析 电路优化

1 引 言 锁相环是一种能使输出信号在频率和相位上与输入信号同步的电路,即系统进入锁定状态(或同步状态)后,震荡器的输出信号与系统输入信号之间相差为零,或者保持为

关键字: 电源技术解析 adpll vhdl语言 全数字锁相环

频率是指某周期现象在单位时间内所重复的次数,它与时间在数学上互为倒数。时间频率的精确测量促进了科学的发展,而科学的发展又反过来把时间频率的测量提高到新的高度。特别在最近的几十年里,频率和时间的测量精度已达到非常高的水平,...

关键字: DSP tms320f2812 数字频率计 设计教程

  随着微电子技术和计算机技术的飞速发展, 各种电子测量仪器在原理、功能、精度及自动化水平等方面都发生了巨大的变化, 特别是DSP技术诞生以后,电子测量技术更是迈进了一个全新的时代。近年来,DSP...

关键字: DSP 数字频率计

针对在自动控制系统设计领域和通信领域中有着广泛运用的AD7862芯片,介绍了一种基于FPGA的驱动接口电路的设计。阐述了 AD7862的特点及基本功能,以及基于这些功能特点的驱动时序,并以此时序为基础在FPGA芯片中实现...

关键字: FPGA vhdl语言 存储技术 接口电路 ad7862芯片

本文采用EDA设计方法,把数字频率计系统组建分解成若干个功能模块进行设计描述,选用Altera公司生产的FPGA产品FLEX10K系列的 EPF10K10LC84-4芯片,下载适配后,便可以在数码管上显示出待测频率的数值...

关键字: EDA FPGA 数字频率计
关闭
关闭