当前位置:首页 > EDA > 电子设计自动化
[导读]例1:FPGA驱动LED静态显示  --文件名:decoder.vhd  --功能:译码输出模块,LED为共阳接法  --最后修改日期:2004.3.24  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL; 

例1:FPGA驱动LED静态显示

  --文件名:decoder.vhd

  --功能:译码输出模块,LED为共阳接法

  --最后修改日期:2004.3.24

  library IEEE;

  use IEEE.STD_LOGIC_1164.ALL;

  use IEEE.STD_LOGIC_ARITH.ALL;

  use IEEE.STD_LOGIC_UNSIGNED.ALL;

  entity decoder is

  Port (seg:in std_logic_vector(3 downto 0 ); --四位二进制码输入

  q3:out std_logic_vector(6 downto 0) ); --输出LED七段码

  end decoder;

  architecture Behavioral of decoder is

  begin

  process(seg)

  begin

  case seg is

  when "0000" => q3<="0000001";--0

  when "0001" => q3<="1001111";--1

  when "0010" => q3<="0010010";--2

  when "0011" => q3<="0000110";--3

  when "0100" => q3<="1001100" --4

  when "0101" => q3<="0100100";--5

  when "0110" => q3<="0100000";--6

  when "0111" => q3<="0001111";--7

  when "1000" => q3<="0000000";--8

  when "1001" => q3<="0000100";--9

  when others => q3<="1111111";

  end case;

  end process;

  end Behavioral;

例2:FPGA驱动LED动态显示(4位)

 

  --文件名:dynamic.vhd。

  --功能:动态扫描模块,位选信号高电平有效。

  --最后修改日期:2004.3.24。

  library IEEE;

  use IEEE.STD_LOGIC_1164.ALL;

  use IEEE.STD_LOGIC_ARITH.ALL;

  use IEEE.STD_LOGIC_UNSIGNED.ALL;

  entity dynamic is

  Port ( clk : in std_logic;

  reset: in std_logic;

  din1 : in std_logic_vector(6 downto 0); --译码后的数据信号1(4位2进制数据

  通过例1中的decoder模块译码得到din1,din2,din3,din4)

  din2 : in std_logic_vector(6 downto 0); --译码后的数据信号2

  din3 : in std_logic_vector(6 downto 0); --译码后的数据信号3

  din4 : in std_logic_vector(6 downto 0); --译码后的数据信号4

  shift: out std_logic_vector(3 downto 0); --位选信号

  bus4 : out std_logic_vector(6 downto 0)); --数据信号

  end dynamic;

  architecture Behavioral of dynamic is

  signal scan_clk:std_logic_vector(1 downto 0);

  begin

  process(clk,scan_clk,reset) --分频进程

  variable scan:std_logic_vector(17 downto 0);

  begin

  if reset='1' then

  scan:="000000000000000000";

  scan_clk<="00";

  elsif clk'event and clk='1'then

  scan:=scan+1;

  end if;

  scan_clk<=scan(17 downto 16);

  end process;

  process(scan_clk,din1,din2,din3,din4) --扫描进程

  begin

  case scan_clk is

  when "00"=>

  bus4<=din1;

  shift<="0001";

  when "01"=>

  bus4<=din2;

  shift<="0010";

  when "10"=>

  bus4<=din3;

  shift<="0100";

  when "11"=>

  bus4<=din4;

  shift<="1000";

  when others=> bus4<="0000000";shift<="0000";

  end case;

  end process;

  end Behavioral;

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

北京2025年9月5日 /美通社/ -- 近日,2025年中瑞商业大奖颁奖典礼在北京举行,SGS通标标准技术服务有限公司(以下称SGS通标)作为瑞士SGS在中国的分支机构荣获了传承奖的殊荣。SGS通标北京总经理...

关键字: 可持续发展 ST AI ABILITY

RighValor现已基于Synaptics® Astra™ SL1600系列SOC运行,提供隐私至上的实时边缘智能。 加利福尼亚州帕洛阿尔托2025年9月5日 /美通社/ -- 边缘分布式代理AI先驱企业Righ今日...

关键字: 智能家居 SYNAPTICS AI ST

中国 上海,2025年8月28日——全球领先的智能传感和发射器解决方案供应商艾迈斯欧司朗(SIX:AMS)今日宣布,其全新UV-C LED在辐射灭菌领域取得重大技术突破,并获得评估认可。

关键字: LED 发射器 光电半导体

在LED照明技术向高能效、低电磁干扰(EMI)方向演进的过程中,电流模式控制与动态负载调整算法的协同优化成为突破技术瓶颈的核心路径。本文将从控制架构创新、动态负载补偿机制及EMI抑制策略三个维度,揭示新一代LED驱动器的...

关键字: LED 动态负载调整算法 EMI

在全球倡导节能减排的大背景下,家电产品的能耗问题日益受到关注。电视机作为家庭中使用频率较高的电器之一,其能耗的降低对于节约能源和减少碳排放具有重要意义。LED 驱动技术作为影响电视机能耗的关键因素,正不断发展和创新,为实...

关键字: 驱动技术 能耗 LED

LED是一种能发光的半导体电子元件,这种电子元件早期只能发出低光度的红光,随着技术的不断进步,现在已发展到能发出可见光、红外线及紫外线的程度,光度也有了很大的提高。

关键字: LED

PCB设计在EMI抑制中起着关键作用。合理的布局布线能够有效减少信号的电磁辐射和相互干扰。首先,应将功率电路和控制电路进行物理隔离,避免功率电路中的大电流、高电压信号对控制电路造成干扰。功率器件和电感等高频器件应尽量靠近...

关键字: LED 开关电源

为确保太阳能路灯的稳定工作,建议使用硅酮密封将太阳能路灯组件的接线固定在支架上。在连接路灯部件的线路时,必须遵循正确的顺序,以防止正负连接颠倒导致的短路问题。此外,太阳能路灯灯杆底部的接线应采用适当的密封装置或硅胶进行密...

关键字: LED 路灯

在我们家,WTW已经运行了14年了。它是一种非连接(不在线)的通风机,从房子外面吸收新鲜空气,经过过滤后空运到房子里。新鲜的环境空气是用从房子里大多数房间取出的空气预热的。再加热的能量是通过热交换器从流出的“脏”空气中获...

关键字: Zigbee LED 传感器

马萨诸塞州剑桥2025年8月20日 /美通社/ -- 今天,晶泰科技(2228.HK)宣布与韩国领先的制药企业——韩国Dong-A ST(东亚公司)签署合作备忘录(MOU),...

关键字: 泰科 AI 机器人 ST
关闭