当前位置:首页 > EDA > 电子设计自动化
[导读]随着科学研究与技术开发市场化,采用传统电子设计手段在较短时间内完成复杂电子系统设计,已经越来越难完成了。EDA(Electronics Design Automation)技术是随着集成电路和计算机技术飞速发展应运而生一种高级、快速、

随着科学研究与技术开发市场化,采用传统电子设计手段在较短时间内完成复杂电子系统设计,已经越来越难完成了。EDA(Electronics Design Automation)技术是随着集成电路和计算机技术飞速发展应运而生一种高级、快速、有效电子设计自动化工具。本文介绍了EDA技术主要特点和功能,并对将EDA技术引入到数字电路设计工作方案进行了探讨。

1 EDA技术

EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要元件建模和系统仿真电子产品自动化设计过程。EDA是电子设计领域一场革命,它源于计算机辅助设计,计算机辅助制造、计算机辅助测试和计算机辅助工程。利用EDA工具,电子设计师从概念,算法、协议开始设计电子系统,从电路设计,性能分析直到IC版图或PCB版图生成全过程均可在计算机上自动完成。EDA代表了当今电子设计技术最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下设计方法,对整个系统进行方案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片适配编译和编程下载,这被称为数字逻辑电路高层次设计方法。

1.1 EDA软件简介

“EDA”就是Electronic Design Automation(电子设计自动化),也就是能够帮助人们设计电子电路或系统软件工具,该工具可以使设计更复杂电路和系统成为可能。目前进入我国并具有广泛影响EDA软件有:muhisim7、OW_AD、Protel、Viewlogio、Mentor、Synopsys、PCBW Id、Cadence、MicmSim等等,这些软件各具特色,大体分为芯片级设计工具、电路板级设计工具、可编程逻辑器件开发工具和电路仿真工具等几类;其中Protel是国内最流行、使用最广泛一种印制电路板设计首选软件,由澳大利亚protd Technology公司出品,过去只是用来进行原理图输入和PCB版图设计,从Protel 98开始,加入了模拟数字混合电路仿真模块和可编程逻辑器件设计模块,1999年Protel推出了功能更加强大EDA综合设计环境Protel 99,它将EDA全部内容整合为一体,成为完整EDA软件,因而该软件发展潜力很大,但它最具特色和最强大功能仍是原理图输人和PCB版图设计。

1.2 EDA技术主要内容

EDA技术涉及面很广,内容丰富,从教学和实用角度看,主要应掌握如下4个方面内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是软件开发工具;四是实验开发系统。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计载体,硬件描述语言是利用EDA技术进行电子系统设计主要表达手段,软件开发工具是利用EDA技术进行电子系统设计智能化自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计下载工具及硬件验证工具。

1.3 EDA技术主要特征

作为现代电子系统设计主导技术,EDA具有几个明显特征:

1.3.1用软件设计方法来设计硬件

硬件系统转换是由有关开发软件自动完成,设计输入可以是原理图VHDL语言,通过软件设计方式测试,实现对特定功能硬件电路设计,而硬件设计修改工作也如同修改软件程序一样快捷方便,设计整个过程几乎不涉及任何硬件,可操作性、产品互换性强。

1.3.2基于芯片设计方法

EDA设计方法又称为基于芯片设计方法,集成化程度更高,可实现片上系统集成,进行更加复杂电路芯片化设计和专用集成电路设计,使产品体积小、功耗低、可靠性高;可在系统编程或现场编程,使器件编程、重构、修改简单便利,可实现在线升级;可进行各种仿真,开发周期短,设计成本低,设计灵活性高。

1.3.3自动化程度高

EDA技术根据设计输入文件,将电子产品从电路功能仿真、性能分析、优化设计到结果测试全过程在计算机上自动处理完成,自动生成目标系统,使设计人员不必学习许多深入专业知识,也可免除许多推导运算即可获得优化设计成果,设计自动化程度高,减轻了设计人员工作量,开发效率高。

1.3.4自动进行产品直面设计

EDA技术根据设计输入文件(HDL或电路原理图),自动地进行逻辑编译、化简、综合、仿真、优化、布局、布线、适配以及下载编程以生成目标系统,即将电子产品从电路功能仿真、性能分析、优化设计到结果测试全过程在计算机上自动处理完成;

1.4 EDA技术要点

1.4.1可编程逻辑器件-PLD

数字逻辑器件发展直接反映了从分立元件、中小规模标准芯片过渡到可编程逻辑器件过程。ISP技术和HDPLD器件使设计人员能够在实验室中方便地开发专用集成数字电路芯片ASIC.当前,国内外许多着名厂商均已开发出新一代ISP器件以及相应开发软件(如Synario、EXPERT、Fundation、MAX Plus2等)。

1.4.2“自顶而下”设计方法

10年前,电子设计基本思路还是选择标准集成电路“自底向上”(Bottom-Up)地构造出一个新系统。这样设计方法如同一砖一瓦建造楼房,不仅效率低、成本高而且容易出错,高层次设计给我们提供了一种“自顶向下”(Top-Down)全新设计方法,这种方法首先从系统入手,在顶层进行功能方框图划分和结构设计,在方框图一级进行仿真、纠错,并用硬件描述语言对高层系统进行描述,在系统一级进行验证,然后用综合优化工具生成具体门电路网表,其对应物理实现级可以是印刷电路板或专用集成电路,由于设计主要仿真和调试过程是在高层次上完成,这既有利于早期发现结构设计上错误,避免设计工时浪费,同时也减少了逻辑功能仿真工作量,提高了设计一次成功率。

2数字电路设计

20世纪90年代以来,电子信息类产品开发明显出现两个特点:一是产品复杂程度加深;二是产品上市时限紧迫。随着计算机性价比提高及可编程逻辑器件出现,对传统数字电子系统设计方法进行了解放性革命,现代电子系统设计方法是设计师自己设计芯片来实现电子系统功能,将传统固件选用及电路板设计工作放在芯片设计中进行。然而电路设计本质上是基于门级描述单层次设计(主要以数字电路为主),设计所有工作(包括设计输入、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行,显然这种设计方法不能适应新形势,为此引入一种高层次电子设计方法,也称为系统设计方法。

数字电路设计性本身就是一种综合性设计,其设计电路中一般包含不同类型电路,在设计过程中,不可避免地存在许多错误和不足如果直接按照这一设计电路在电路板上进行安装、调试,其结果往往使电路调试费时费力,甚至会引起元器件和仪器设备损坏等问题,导致设计不能达到预期效果。应用EDA技术在仿真软件平台上设计数字电路,能帮助熟悉和掌握最先进电路设计方法和技能。在电子技术高速发展今天,新器件、新电路不断涌现,而设计条件受经费等因素制约,一般不能及时更新。采用软件仿真方法,在计算机上虚拟一个先进测试仪器、元器件品种齐全电子工作台,可进行验证性、测试性、设计性等实验针对性训练,培养使用计算机及分析、应用和创新电路能力。“以仿代实”,“以软代硬”应该成为当代设计发展潮流之一。

3基于EDA技术进行数字电路设计研究

EDA技术在数字系统中应用以基于AlteraEPM7128SLC84-15芯片和MAX PlusII 10.0软件平台数字钟设计为例,讨论EDA技术在数字系统中具体应用。

3.1 EDA技术设计流程

在设计方法上,EDA技术为数字电子电路设计领域带来了根本性变革,将传统“电路设计硬件搭试调试焊接”模式转变为在计算机上自动完成,如图1所示。

图1:设计流程

PCbfans.cn提示请看下图:

3.2设计要求

具有时、分、秒、计数显示功能,以24小时循环计时。具有清零和调节小时、分钟功能。具有整点报时功能。

3.3输入设计源文件

一个设计项目由一个或多个源文件组成,它们可以是原理图文件、硬件描述语言文件、混合输入文件,点击Source/New菜单,选择你所要设计源文件类型,进入设计状态,完成源文件设计,存盘、退出;另在一张原理图编辑器窗口中,通过File/Matching Symbol菜单,建立一张原理图符号,生成一个与原理图文件相同名、相同功能逻辑宏元件,它自动加到元件列表中,可以在更高层图纸中反复调用;

3.3逻辑编译

逻辑编译选择器件EPM7128SLC84-15,使用MAX PlusⅡ编译器编译设计项目,通过编译器自动进行错误检查、网表提取、逻辑综合、器件适配,最终产生器件编程文件(。jed)。

3.4综合

综合就是利用EDA软件系统综合器将VHDL软件设计与硬件可实现性挂钩,这是将软件转化为硬件电路关键步骤。综合器对源文件综合是针对某一FPGA/CPI D供应商产品系列。因此,综合后结果具有硬件可实现性。EDA提供了良好逻辑综合与优化功能,它能够将设计人员设计逻辑级电路图自动地转换为门级电路,并生成相应网表文件、时序分析文件和各种报表,若设计没有错误,最终可生成可以编程下载。sof文件。

3.5器件适配

综合通过后必须利用FPGA/CPLD布局/布线适配器将综合后网表文件针对某一具体目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线等操作。适配后产生时序仿真用网表文件和下载文件,如JED或POF文件。适配对象直接与器件结构细节相对应。

3.6功能仿真

通常,在设计过程中每一个阶段都要进行仿真验证其正确性。在综合前,要进行行为仿真,将VHDI源程序直接送到VHDI仿真器中仿真,此时仿真只是根据VHDI语义进行,与具体电路没有关系。综合后,可利用产生网表文件进行功能仿真,以便了解设计描述与设计意图一致性。功能仿真仅对设计描述逻辑功能进行测试模拟,以了解其实现功能是否满足原设计要求,仿真过程不涉及具体器件硬件特性,如延迟特性。时序仿真根据适配后产生网表文件进行仿真,是接近真实器件运行仿真,仿真过程中已将器件硬件特性考虑进去了,因此仿真精度要高得多。时序仿真网表文件中包含了较为精确延迟信息。

3.7编程下载

通过仿真确定设计基本成功后,即可通过Byteblaster下载电缆线将设计项目以JTAG方式下载到器件中,完成设计所有工作。通过此例设计流程讲述可知,EDA技术及其工具在数字电路系统(包括模拟电路系统)中正发挥着越来越重要作用,其应用深度和广度正在向更深层次延伸。

3.8目标系统

用VHDL语言描述编码电路。译码电路用CASE语句完成查表译码,其中有近4O种可能情况。通过求出伴随式值,把有一个错误数据取反纠正过来,其他情况给出信号,指出有错误。编译码电路选用ALTERA公司生产器件EPF1OK10TC144-3,其中编码电路占用了32个逻辑单元,译码电路占用了163个逻辑单元。对编码译码电路做功能仿真。测试使用看来,当数据输人全为‘1',如果总线上传来数据最后一位出错。为'0',正确数据异或而成数据检查线DC使得译码器能把最后一位改为'1';如数据输人是“00000001”,编码器DC为“19”而一旦出现两个错误。如最高位和最低位,译码器指示是不可纠正错误;如数据正确传输,译码器指示没有错误。

4本文作者创新点

目前,现代集成电路技术发展使以现场可编程门阵列为代表大容量可编程逻辑器件等效门数迅速提高,其规模直逼标准门阵列,达到了系统集成水平。特别是进入二十世纪90年代后,随着CPLD、FPGA等现场可编程逻辑器件逐渐兴起,VHDL、Verilog等通用性好、移植性强硬件描述语言普及,ASIC技术不断完善,EDA技术在现代数字系统和微电子技术应用中起着越来越重要作用。从通常意义上来说,现代电子系统设计已经再也离不开EDA技术帮助了。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

EDA(电子设计自动化)技术可以应用于显示应用的不同方面。以下是一些主要的实现方式: PCB设计:在电子系统中,印刷电路板(PCB)是用于承载和连接各种电子元件的关键部件。在PCB上,可以焊接和配置电子元件,以实现电子...

关键字: EDA技术 电路设计

回顾EDA产业大致经历了三个发展阶段:20世纪80年代前的计算机辅助设计(CAD)时代,20世纪80年代的计算机辅助工程(CAED)时代和20世纪90年代后的电子系统设计自动化(EDA)时代。近年来,随着云计算在各行各业...

关键字: EDA技术 云计算

EDA是电子设计自动化(Electronic Design Automation)的缩写,它代表了电子设计的自动化流程。EDA技术利用计算机辅助设计(CAD)软件,来完成超大规模集成电路(VLSI)芯片的功能设计、综合、...

关键字: EDA技术 通信系统

EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要元件建模和系统仿真电子产品自动化设计过程。...

关键字: EDA技术 数字电路

EDA 作为现代电子设计的核心, 以大规模可编程逻辑器件(FPGA/COLD)为载体,以计算机为工作平台,在 EDA 软件开发环境下,采用硬件描述语言 HDL(Hardware Description Language)...

关键字: EDA技术 数字电路

EDA是电子设计自动化(Electronics Design Automation)的缩写,EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VerilogHDL完成设计文件,然后由计算机自动地完成逻...

关键字: EDA技术 EDA应用

数字频率是指数字化信号中重复发生的周期性事件的数量。在数字信号处理中,频率通常被表示为离散的样本频率,单位为赫兹(Hz)。数字频率是指数字信号中每秒相继重复的样本数。例如,如果一个数字信号每秒钟重复了1000次样本,那么...

关键字: EDA技术 数字频率计

EDA代表了当今电子设计技术的最新发展方向,利用EDA工具电子设计师可以从概念、算法、协议等开始设计电子系统大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上...

关键字: EDA技术 计算机系统

EDA(Electronic Design Automation)技术,即电子设计自动化,是电子设计与制造技术发展中的核心,用于支持从电路设计到布局和布线的整个过程。EDA技术的发展历程可以追溯到20世纪70年代,当时由...

关键字: EDA技术 eda发展历程

EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。具体来说,EDA技术利用各种计算机辅助设计(CAD)软件,完成超大规模集成电路(VLSI)芯片的功...

关键字: EDA技术 EDA发展趋势
关闭
关闭