当前位置:首页 > 工业控制 > 电子设计自动化
[导读]大规模可编程逻辑器件和EDA技术已经代替传统的设计方法,逐渐成为电子系统设计者的主要设计手段。本文介绍使用MAX+PIusII开发软件和CPLD器件,通过软件的方式设计硬件,实现一个具体的数字电路功能,设计方法更加灵活、高效,并且可在线修改。

在现代电子系统中,数字化和集成化成为发展的必然趋势,电子设计自动化(EDA)技术已经逐渐成为电子系统设计的主要方向和潮流,传统的数字系统没计方法已经逐渐被淘汰。作为电子工程师和系统设计人员学习、掌握相关知识和技术已势在必行。EDA就是利用计算机设计电子电路和系统的软件工具,极大地提高了电路设计的效率和可靠性。减轻了设计者的劳动强度。它的实现是与可编程逻辑器件CPLD/FPGA(ComplexPro—grammableLogicDevice/FieldProgrammableGateArray)技术的迅速发展息息相关的。这一类器件可以通过软件编程对其硬件结构和工作方式进行重构,打破了软硬件之间的屏障。美国Altera公司推出的发展较完善的MAX+Plush软件就是用于开发CPLD的EDA软件工具,它界面友好。易学易用并具无可比拟的灵活性和高效性。

1. MAX+Plusll软件开发平台介绍

设计CPLD时可以利用Altera公司提供的免费基本版MAX+PlusIll0。2软件实现。此软件可通过Altera公司网站免费下载。

MAX+Plush软件的设计流程分四步。即设计输入、设计编译、设计验证和器件编程。

①设计输入。MAX+Plush软件的设计输入的方法有多种,主要包括:

原理图输入方式:这种输入方式多用于不太复杂的系统设计中,对于我们的频率计设计就可以采用。因为用此方式不但方便也很直观。

文本设计输入方式:文本设计文件可以使用AHDL语言、VHDL语言、VerilogHDL语言。三种都是硬件描述语言,每种都有其各自的特点。

波形输入方式:设计者根据建立的输入,输出波形生成逻辑关系,本设计将不使用这种输入方式,但可以利用波形关系进行设计验证。

②设计编译。MAX+P1usII提供了一个全集成编译器,编译过程经历网表提取,数据库建立,逻辑综合,资源分配,适配,时序仿真网表文件提取,装配等环节。生成一系列标准文件,若在其中某个环节出现错误,编译器会停止编译,告诉你错误出现的位置及原因。

③设计验征。MAX+Plush还有时序分析,功能仿真,输入输出波形分析等功能,以帮助验证设计的正确性。

④器件编程。对设计文件编译。仿真后,将设计的项目下载(或称为配置)到所选器件上的过程。

2. 数字电路设计

PLD设计中,原理图输入比较直观。效率高,但设计大规模CPLD时显得很繁琐。当进行大规模CPLD设计时通常选择文本输入方式。如前所述,文本输入有AHDL、VHDL、VerilogHDL三种语言,我们选择其中VHDL语言简单介绍给大家。VHDL语言硬件描述能力很强。同样是基于英语的一种编程语言。类似其他高级编程语言。只要有一定英语知识,就会很容易掌握并理解VHDL语言的描述。

(1)电路功能描述

利用VHDL语言设计一个简单的数字电路。原理框图如图1所示,该电路用于计数电路之前的控制信号产生,功能要求是对外部电路产生送入的clk(8Hz)信号进行计数,输出信号送入控制电路周期性地产生3个信号控制后级计数电路,这三个信号分别是:

①闸门信号CS,也称计数控制信号:控制计数模块的计数和⋯停止计数,计数控CS的高电平部分正好是1秒,CS的低电平部分是计数模块停止计数时间。

②锁存信号lock:当计数模块在1秒时间计数结束后产生一个送数信号,即把计数信号送进锁存器,高电平有效。

③清零信号clr:在每次计数模块开始计数前,清除模块内原先的计数值,使其为零,同样是高电平有效。

图l 电路原理框图

(2)电路设计

利用MAX+PIusII软件环境下建立VHDL语言输入文件设计控制信号产生电路,输入源程序如下:

①十进制计数电路

 

单元电路设计完成后,建立相应电路符号,在原理图输人方式下,将各单元电路符号按图1所示电路原理框图逻辑关系连接,通过保存、编译,确认正确无误后可以说完成了CPLD内部电路的设计。

逻辑功能仿真:创建波形编辑文件,保存为*.scf,得到仿真结果如图2。

经过器件选择,管脚锁定,编程下载等工作即可将设计项目下载至芯片,完成数字电路功能。

图2 电路的仿真结果

3. 结束语

显然,比起用卡诺图化简再用相应集成片连线搭接电路.利用EDA技术设计数字电路更为灵活方便,设计周期也大大减小。本设计采用自顶向下的设计方法,从系统总体功能出发分解出相应基本逻辑模块条理清晰,修改起来也更方便,可以说,EDA技术改变了传统数字系统设计方法、设计过程和设计观念,必将成为现代电子系统设计的核心。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

移位寄存器,作为数字电路中的基本元件,是一种存储数据并按特定方式改变数据顺序的装置。它在计算机硬件设计、通信协议处理、数据编码解码以及其他众多数字信号处理场景中扮演着至关重要的角色。本文将深入探讨移位寄存器的作用,其工作...

关键字: 移位寄存器 数字电路

在数字电路和计算机体系结构中,定序器(Sequencer)是一个至关重要的组件。它负责协调和控制各个功能单元的操作顺序,确保数据在正确的时间被传输和处理。尽管定序器在许多现代电子系统中都扮演着关键角色,但对于非专业人士来...

关键字: 数字电路 计算机 定序器

随着信息技术的飞速发展,数字电路已成为现代电子设备不可或缺的核心组成部分。在数字电路中,数字晶体管作为一种重要的开关元件,发挥着至关重要的作用。本文将详细探讨数字晶体管的基本概念、工作原理、主要类型、应用领域以及未来发展...

关键字: 数字电路 晶体管 开关元件

EDA(电子设计自动化)技术可以应用于显示应用的不同方面。以下是一些主要的实现方式: PCB设计:在电子系统中,印刷电路板(PCB)是用于承载和连接各种电子元件的关键部件。在PCB上,可以焊接和配置电子元件,以实现电子...

关键字: EDA技术 电路设计

回顾EDA产业大致经历了三个发展阶段:20世纪80年代前的计算机辅助设计(CAD)时代,20世纪80年代的计算机辅助工程(CAED)时代和20世纪90年代后的电子系统设计自动化(EDA)时代。近年来,随着云计算在各行各业...

关键字: EDA技术 云计算

EDA是电子设计自动化(Electronic Design Automation)的缩写,它代表了电子设计的自动化流程。EDA技术利用计算机辅助设计(CAD)软件,来完成超大规模集成电路(VLSI)芯片的功能设计、综合、...

关键字: EDA技术 通信系统

EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要元件建模和系统仿真电子产品自动化设计过程。...

关键字: EDA技术 数字电路

EDA 作为现代电子设计的核心, 以大规模可编程逻辑器件(FPGA/COLD)为载体,以计算机为工作平台,在 EDA 软件开发环境下,采用硬件描述语言 HDL(Hardware Description Language)...

关键字: EDA技术 数字电路

EDA是电子设计自动化(Electronics Design Automation)的缩写,EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VerilogHDL完成设计文件,然后由计算机自动地完成逻...

关键字: EDA技术 EDA应用

数字频率是指数字化信号中重复发生的周期性事件的数量。在数字信号处理中,频率通常被表示为离散的样本频率,单位为赫兹(Hz)。数字频率是指数字信号中每秒相继重复的样本数。例如,如果一个数字信号每秒钟重复了1000次样本,那么...

关键字: EDA技术 数字频率计
关闭
关闭