当前位置:首页 > 工业控制 > 电子设计自动化
[导读]在向先进工艺技术发展的过程中,半导体公司除需满足不断增长的制造要求之外,还要面对日益增长的实现芯片设计一次性成功的压力。晶圆厂期待设计符合那些面向先进工艺节点的可制造性设计(DFM)和良率导向设计(DFY)的日益复杂的规则和建议。就设计师而言,他们希望最大限度地缩小保护频带(guardbanding),同时实现最优性能。

在向先进工艺技术发展的过程中,半导体公司除需满足不断增长的制造要求之外,还要面对日益增长的实现芯片设计一次性成功的压力。晶圆厂期待设计符合那些面向先进工艺节点的可制造性设计(DFM)和良率导向设计(DFY)的日益复杂的规则和建议。就设计师而言,他们希望最大限度地缩小保护频带(guardbanding),同时实现最优性能。

制造复杂性的提高给生成过孔、处理紧密排布的走线以及控制更严重的纳米几何规格效应带来了更大的难题。由于这些越来越艰巨的互连设计挑战,对于先进的工艺节点,半导体公司得到的良率一般在40%~70%之间,这样,仅良率损失一项就达数百万美元。对IC设计团队而言,这些更高的要求使之呼吁一种更加协作的方法。的确,设计和制造可以同时从最新的“DFx”(DFM、DFY和可靠性设计)优化方法中实现互利双赢。

这种平衡的互连优化方法在传统布局和布线流程之后进行,可以在满足电气约束规则和制造规则的同时,提高良率、可制造性,并改善设计过程中的时序收敛问题。

目前可获得的最佳DFx流程结合了当今综合、布局和布线解决方案中有DFM意识的特性与后布线(前GDS)互连优化步骤。

应该

确保整合进设计意图(如关键的节点信息),以避免在增强DFx之后出现信号完整性(SI)和时序问题。特别要注意:通过锁定关键节点并围绕它们建立起一个保护圈,来保护它们。这个保护圈可以表示为同一层或整个层堆叠设定的保护性“禁止入内”的间距值。

在增强DFx期间执行电气认知/修正分析,以确保不违反时序和信号完整性原则。这种方法可以在DFx优化后实现收敛,并确保在流程的早期实现设计的保护频带不过宽。

合理安排DFx增强顺序。合理地安排顺序将有助于产生最佳效果,因为每一步都会为下一步打下基础。例如,从时序/信号完整性和DRC干净块开始,然后应用过孔减少技巧,接着进行布线扩展(wire spreading)、冗余过孔插入和闭合增强。

像对待时序收敛一样对待DFx收敛。建议在设计周期的早期对每一个电路执行这一原则。如果可能的话,将DFx增加到整个流程中。单元良率问题可以在综合和布局流程的早期得到解决。在布线时,可以使互连更加便于光刻、OPC和DFx。最后,利用基于空间的建模等先进方法,对布线数据进行进一步的DFx和光刻增强。

采用下一代方法,如不受网格限制的基于空间的工具,来进行最佳的DFx增强。

图:IC设计流程能够平衡性能与良率,芯片优化要在设计与制造环节之间进行。

不应该

低估互连优化的重要性。除减小保护频带以及提高芯片性能之外,互连优化还可以加快量产速度,甚至可将良率提高6%,从而带来可量化的收益。良率每提高1%,就可节省几百万美元,并且更高的量产速度可显著影响收益。

在评测改进效果时,眼光狭隘失之片面。例如,如果过孔是可靠性和可制造性问题的根源,则不要只盯着那些 double-cut过孔,要逐个检查所有受保护和不受保护的过孔。受保护的过孔被定义为冗余过孔或者闭合严密的过孔。应将原始设计中所有受保护和不受保护的过孔与经过优化的设计中所有受保护和不受保护的过孔进行比较。

想当然地认为所有晶圆厂,甚至所有工艺节点都相同。事实上,每家晶圆厂和每个工艺节点都是不同的。例如,金属短路和开路的可能性随金属(如铝与铜)和工艺节点的不同而不同。应留出足够时间评估以前的DFx流程对新工艺产生的效果。

将晶圆厂建议的规则仅仅当作可选的规则。与晶圆厂一起共同确定一系列最影响良率的因素。应力图遵守这些规则,只有在无法满足设计(例如时序、功率)或区域目标时才能违反这些规则。加入一个检查/打分机制以评判在遵守被建议的规则方面你做得怎样。

对GDS数据进行所有的DFx增强工作。在这个阶段只能实现有限的一些几何方面的增强工作。可以采用可进行拓扑优化的工具在GDS之前进行DFx增强可得到最佳结果。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

大面积分析技术可以预防、探测和修复热点,从而将系统性、随机性和参数缺陷数量降至最低,并最终提高良率

关键字: 半导体 芯片设计 3D建模

芯片制造商与EDA解决方案和广泛的IP组合紧密合作,能够提升产品性能并加快上市时间

关键字: 芯片设计 EDA 模拟设计

芯片设计技术的领导者与仿真分析技术的领导者强强联合,在人工智能的强力驱动下,满足合作伙伴在电路与物理两大领域相互融合的相关需求

关键字: 芯片设计 人工智能 EDA

2024年1月15日 – 2024年1月10日-17日,中国科技领域最有影响力的大会之一,WIM 2023(World Innovators Meet,世界创新者年会)正式启幕。会上,亿欧联合“芯榜”发布《2023中国半...

关键字: 半导体 芯片设计 忆阻器

随着科技的飞速发展,芯片已经成为了现代社会中不可或缺的一部分。从智能手机、电脑到汽车、工业设备,几乎所有的电子产品都离不开芯片的支持。因此,芯片设计行业的前景备受关注。本文将从技术发展、市场需求和政策支持等方面,探讨芯片...

关键字: 芯片 芯片设计 半导体

毋庸置疑的是,与“摩尔定律”紧密相关单芯片晶体管数量和工艺几何尺寸演进正在迎来一个“奇点时刻”。与此同时,终端应用的高算力需求依然在不断推高单芯片Die尺寸,在光罩墙的物理性制约之下,众多芯片设计厂商在芯片工艺与良率的流...

关键字: 晶体管 芯片设计 算力

12月4日,系统级验证EDA解决方案提供商芯华章,与国产高端车规芯片设计公司芯擎科技正式建立战略合作。双方强强联手,芯擎科技导入芯华章相关EDA验证工具,赋能车规级芯片和应用软件的协同开发,助力大规模缩短产品上市周期,加...

关键字: EDA 芯片设计 智能驾驶

像半导体设计这样如此具有挑战性的工作并不多见。在显微镜下,NVIDIA H100 Tensor Core GPU(上图)这样最先进的芯片看起来就像一个精心规划的大都市,由数百亿个晶体管组成,把它们连接起来的线比人的头发丝...

关键字: 生成式AI 芯片设计 GPU

全球领先的新思科技IP解决方案和AI驱动型EDA全面解决方案与“Arm全面设计”相结合,大幅加速复杂SoC设计的上市时间

关键字: SoC设计 芯片设计

近日,第11届EEVIA年度中国硬科技媒体论坛暨产业链研创趋势展望研讨会在深圳召开,上海合见工业软件集团产品工程副总裁孙晓阳在会上发布了主题为“把握芯片设计关键核心,助力国产EDA新格局”的演讲。

关键字: 芯片设计 仿真 验证 chiplet 合见工软 IP
关闭
关闭