ULINK

关注1人关注
我要报错
ulink的全称是KeilULINKUSB接口仿真器,是一款多功能ARM调试工具,可以通过JTAG或CODS接口连接到目标系统,进行仿真或下载程序,已经成为国内主流的ARM开发工具。