正弦信号发生器

关注80人关注
我要报错
  • 基于FPGA和DDS技术的正弦信号发生器设计

    该系统由FPGA、单片机控制模块、键盘、LED显示组成,采用直接数字频率合成(DDS),D/A以及实时计算波形值等技术,设计出具有频率设置功能,频率步进为100 Hz,频率范围为1 kHz~10 MHz之间正弦信号发生器。该系统的频率范围宽,步进小,频率精度较高。

  • 基于FPGA和DDS技术的正弦信号发生器设计

    该系统由FPGA、单片机控制模块、键盘、LED显示组成,采用直接数字频率合成(DDS),D/A以及实时计算波形值等技术,设计出具有频率设置功能,频率步进为100 Hz,频率范围为1 kHz~10 MHz之间正弦信号发生器。该系统的频率范围宽,步进小,频率精度较高。

  • 正弦信号发生器设计方案

    1 引言 为了精确地输出正弦波、调幅波、调频波、PSK及ASK等信号,并依据直接数字频率合成(Direct Digital FrequencySvnthesizer,简称DDFS)技术及各种调制信号相关原理,设计了一种采用新型DDS器件产生正弦波信

  • 采用ML2035的简易正弦信号发生器应用设计

    摘要:在电子和通信产品中往往需要高精度的正弦信号,而传统的正弦信号发生器往往在低频输出时的频率的稳定度和精度等指标都不高。文中介绍了MicroLinear公司的一款单片正弦信号发生芯片ML2035,它可以在几乎不需要其

  • 一种正弦信号发生器的设计

    1 引言 直接数字频率合成技术(DDS)具有频率分辨率高,切换速度快,可输出相位连续、任意的波形信号,能够实现全数字自动化控制等优点,使其成为雷达,通信等信号源的首选。因此,提出一种基于DDS AD9851的正弦

  • 基于AD9851的正弦信号发生器设计

    1 引言 直接数字频率合成DDS(Direct Digital Syndaesis)是实现数字化的一项关键技术,广泛应用于电信与电子仪器领域DDS通常是在CPLD或FPGA内设置逻辑电路实现的,但由于DDS输出受到D/A转换器的速率及D/A转换后

  • 正弦信号发生器

    依据直接数字频率合成(DDFS)技术及各种调制信号相关的原理,设计了一个可输出正弦波、调幅波、调频波、PSK及ASK等信号的正弦信号发生器。该信号发生器的正弦波由AD9851型集成DDS器件产生;调频波采用DDS调频法实现:调幅波通过由模拟乘法器AD835搭建的调幅电路产生;ASK和PSK信号在FPGA给出的基带序列信号控制下通过移相电路与多路复用器的结合电路产生。利用固态继电器阵列可实现各种信号的通道选择;利用后级功率放大电路驱动50Ω负载,可保证其输出电压幅度稳定在6±1 V,且整个系统结构简单,界面友好。

  • 基于ML2035的简易正弦信号发生器设计

    文中介绍了Micro Linear公司的一款单片正弦信号发生芯片ML2035,它可以在几乎不需要其它外围器件的条件下,产生从直流到25kHz的正弦信号,并利用此芯片完成了简易正弦信号发生器电路的设计。

首页 上一页 1 2 下一页  尾页