调试

我要报错
  • 干货:电源纹波调试全纪实

    在某FPGA系统中,对电源系统进行调试,在同样的测试条件下,发现其中有一块板相对其它的板功耗总偏大,进而对其进行调试分析。在该系统中,输入电压为DC12V,输出电压有:5V、3.3V、2.5V和1.2V,综合考虑电源纹波和转换效率,在该系统中采用了DC-DC和LDO,基本框图如下...

  • 研究了一波Android Native C 内存泄漏的调试

    最近在调试AndroidNative层的内存泄漏问题,整理了一些笔记,分享一下本文目录如何查看内存信息?Android关键内存项介绍如何调试内存泄漏其他工具复盘相关资料推荐如何查看内存信息?在代码中打印,开启一个线程,间隔固定时间打印出当前内存信息【有好多种获取内存信息的API,...

  • 研究了一波 Android Native C  内存泄漏的调试

    最近在调试AndroidNative层的内存泄漏问题,整理了一些笔记,分享一下如何查看内存信息?在代码中打印,开启一个线程,间隔固定时间打印出当前内存信息【有好多种获取内存信息的API,这里只列出其中一种方式,亲测有效】private void startMemProfiler(...

  • ARM CoreSight调试与追踪体系在Cortex M3内核中的实现

    摘 要:以ARM Core Sight Architecture Specification规范和ARM Debug Interface Architecture Specification规范为出发点,分析了ARM CoreSight调试与追踪体系在ARM Cortex M3内核中的实现过程。同时,对比分析了新的Serial WireDebug调试技术和经典的JTAG调试技术的异同。

  • 一个电源调试过程,痛彻心扉的坑

    ▼关注公众号:工程师看海▼最近用到一款低噪声正负电源模块LM27762,它的工作原理是正电源通过LDO实现;负电源是先通过电荷泵产生负压,然后再通过LDO产生低噪的负电源。一切看起来很简单,但是没想通调试时,竟然踩了一个大坑,竟踩的我满眼是泪。这是一个专门用来调试的大板子,进行功...

  • Linux下调试1588单步时间戳报文

    欢迎淘宝搜索飞灵科技,我司相关新产品陆续上线。这几天在为一个网络控制器实现IEEE1588单步时间戳的驱动,几经调试终于完工了。顺便分享一下调试方法,当然如果你想玩1588的单步时间戳,首先得有支持这个功能的硬件平台。如果对1588报文单步时间戳不了解,可以查看我之前的文章“IE...

    鲜枣课堂
    2021-11-15
    调试
  • Keil MDK调试时,软硬件的要求

    关注星标公众号,不错过精彩内容作者 |strongerHuang微信公众号|嵌入式专栏KeilMDK的界面虽然不那么友好(IAR其实也一样),但调试功能还算不错,特别是针对Cortex-M内核的处理器。KeilMDK支持的调试功能有很多:组件查看器、事件记录器、事件统计、数据和事...

    strongerHuang
    2021-11-15
    MDK 调试
  • 研究了一波Android Native C 内存泄漏的调试

    最近在调试AndroidNative层的内存泄漏问题,整理了一些笔记,分享一下本文目录如何查看内存信息?Android关键内存项介绍如何调试内存泄漏其他工具复盘相关资料推荐如何查看内存信息?在代码中打印,开启一个线程,间隔固定时间打印出当前内存信息【有好多种获取内存信息的API,...

  • 两个STM32开发中基于MDK IDE的调试输出技巧

    我们在STM32开发调试过程中,常常需要做些直观的输出,如果手头没有相关的设备或仪器,我们可以使用IDE自带的工具。这里分享两个基于MDK IDE的调试输出技巧。一、使用其自带的逻辑分析仪查看波形。比方我们需要查看STM32定时器的PWM输出,手头又没有示波器或逻辑分析仪,尤其学...

  • 驱动调试神器printk你掌握了吗?

    [导读]刚刚开始做Linux相关开发工作时,深感Linux内核代码庞大,要加些自己的驱动进内核代码树,常常深陷bug的泥沼难以自拔,今天来分享一下内核调试利器printk的使用心得。前面一段时间很忙,后期更文频率会渐渐回归正常频率,尽量会保证每周一到两更。感谢各位朋友的关注而没有...

  • 算法分析的目的是

    目的是评价算法的效率,通过评价可以选用更加好更加适合的算法来完成。

  • Zigbee 3.0中文培训课程回放:一次搞懂无线设计的调试和实验技巧

    SiliconLabs(亦称“芯科科技”)与CSA连接标准联盟,腾讯连连及腾讯云产业人才培养中心联合举办“2021Zigbee开发者夏令营”在线培训活动,会中SiliconLabs的应用工程专家团队除了介绍最新的Zigbee3.0标准基础知识、开发工具,以及各种物联网应用开发外,...

    SiliconLabs
    2021-10-27
    igbee 调试
  • 干货 | 分享一个事半功倍的调试神器

    来源:嵌入式从0到1大家好,我是程序员小哈。今天给大家介绍一个串口监控软件:AccessPort,我在工作中经常使用这个软件,堪称神器。这个软件不同于我们之前分享的串口助手,这个软件强大之处在于它是一个串口监控软件。常见的串口监控软件有AccessPort、CommMonitor...

  • 开源多年后,Facebook这个调试工具,再登Github热门榜

    开源最前线(ID:OpenSourceTop)猿妹综合整理链接:https://github.com/facebook/flipper让许多工程师合作开发大型应用大多会面临一个挑战,通常没有一个人知道每个模块是如何工作的,这种技能会让开发新功能、调查Bug或优化性能变得困难,为了...

  • 一文看懂 GDB 调试上层实现

    一、前言这篇文章来聊聊大名鼎鼎的GDB,它的豪门背景咱就不提了,和它的兄弟GCC一样是含着金钥匙出生的,在GNU的家族中的地位不可撼动。相信每位嵌入式开发工程师都使用过gdb来调试程序,如果你说没有用过,那只能说明你的开发经历还不够坎坷,还需要继续被BUG吊打。我们都知道,在使用...

    CPP开发者
    2021-10-22
    调试
  • 两个STM32开发中基于MDK IDE的调试输出技巧

    我们在STM32开发调试过程中,常常需要做些直观的输出,如果手头没有相关的设备或仪器,我们可以使用IDE自带的工具。这里分享两个基于MDK IDE的调试输出技巧。一、使用其自带的逻辑分析仪查看波形。比方我们需要查看STM32定时器的PWM输出,手头又没有示波器或逻辑分析仪,尤其学...

  • 一文看懂 GDB 调试上层实现

    一、前言这篇文章来聊聊大名鼎鼎的GDB,它的豪门背景咱就不提了,和它的兄弟GCC一样是含着金钥匙出生的,在GNU的家族中的地位不可撼动。相信每位嵌入式开发工程师都使用过gdb来调试程序,如果你说没有用过,那只能说明你的开发经历还不够坎坷,还需要继续被BUG吊打。我们都知道,在使用...

    CPP开发者
    2021-10-11
    调试
  • 干货 | 分享一个事半功倍的调试神器

    来源:嵌入式从0到1大家好,我是程序员小哈。今天给大家介绍一个串口监控软件:AccessPort,我在工作中经常使用这个软件,堪称神器。这个软件不同于我们之前分享的串口助手,这个软件强大之处在于它是一个串口监控软件。常见的串口监控软件有AccessPort、CommMonitor...

  • Zigbee 3.0中文培训课程回放:一次搞懂无线设计的调试和实验技巧

    SiliconLabs(亦称“芯科科技”)与CSA连接标准联盟,腾讯连连及腾讯云产业人才培养中心联合举办“2021Zigbee开发者夏令营”在线培训活动,会中SiliconLabs的应用工程专家团队除了介绍最新的Zigbee3.0标准基础知识、开发工具,以及各种物联网应用开发外,...

    SiliconLabs
    2021-10-09
    igbee 调试
  • 跟着高手学!DIY无刷电机控制器:画板、打样、焊接、调试...

    很早之前就想做一款无刷电机控制器,忙于工作一直没有弄。最近有点时间画板,打样,焊接,调试,总算顺利的转起来。期间也遇到很多问题,上网查资料,自己量波形前前后后搞了差不多近一个月,(中间又出差一周)总算搞的差不多了,特意写个总结。板子外观100*60mm中等大小。DC12V输入,设...