当前位置:首页 > 电源 > 数字电源
[导读] 摘要:生产3线SPI接口按MAX7221显示控制器(低电平有效CS,DIN和CLK)的要求,这1 - Wire网络地址连续三个1线开关(DS2413的)。第一个开关直接创建片选(低电平有效CS),第二个创建串行数据线直接(标准),而第三个开关

 摘要:生产3线SPI接口按MAX7221显示控制器(低电平有效CS,DIN和CLK)的要求,这1 - Wire网络地址连续三个1线开关(DS2413的)。第一个开关直接创建片选(低电平有效CS),第二个创建串行数据线直接(标准),而第三个开关与三异或门的帮助,创造了串行时钟(CLK)。

  许多的1 - Wire ®兼容的外围设备可用,但对于那些缺乏的1 - Wire能力,图1所示电路一种方法可以实现它。显示的例子允许远程LED显示屏是由1线通过SPI™兼容的显示控制器的网络控制。

  图1。三个1线开关(IC1的- IC3的),三异或门(IC4的),以及相关的组件使1 - Wire网络来控制这种通过SPI外设IC5显示。

  生产出的3线SPI接口由MAX7221显示控制器(低电平有效CS,DIN和CLK)的要求,1 - Wire网络地址连续三个1线开关(DS2413的)。第一个开关直接创建片选(低电平有效CS),第二个创建串行数据线直接(标准),而第三个开关与三异或门的帮助,创造了串行时钟(CLK)。

  边缘检测器和一杆(IC4A - C)的结合IC2的(DATA1和资料0)的输出创造一个SPI接口的时钟信号。这种一次性时钟产生电路提高了,只需要一个1线每SPI位交易数据速率,而不是三项交易(数据,时钟低,时钟的高),将被要求如果IC2输出被用来直接作为时钟信号。

  要传送数据到SPI输入,首先设置IC1的低产出。然后,传送的数据位使用以下规则:

  如果目前的数据位从以前位不同,设置IC2的DATA1数据输出相应。

  如果目前的数据位是一样的前一位,拨动IC3的的资料0输出相同。

  该电路产生时钟脉冲,每次自动执行,并且只需要一个1 - Wire总线命令发送每个数据位。当数据传输完成后,发送一个最终的1 - Wire命令来设置IC1的输出为高。

  该电路允许1 - Wire网络远程控制温度显示,但类似技术可以提供一个接口,通过I ² C兼容的设备,以及其他的SPI ADC和DAC等外围设备。您还可以通过使用额外的DS2413的生产输出(S)的双向数据传输能力。请注意,SPI数据速率不是很快,和对周边的更新比较慢,但速度并不是很多远程监控应用的问题。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

功率器件是一种专门用于控制、调节和放大电能的电子元件,主要用于处理大功率电信号或驱动高功率负载,如电机、变压器、照明设备等。

关键字: 功率器件 控制 放大电能

机器人是一种集成了机械、电子、控制、传感、人工智能等多学科先进技术的自动化装备,能够模仿或替代人类进行各种任务的设备。

关键字: 人工智能 电子 控制

SPI,Serial Peripheral Interface,串行外围设备接口,是一种同步通信协议,通常用于芯片间进行数据交互。

关键字: SPI 通信协议 外围设备

伺服电机是一种高精度、高性能的电机,广泛应用于各种自动化控制系统中。控制伺服电机是实现自动化控制的关键环节,不同的控制方式具有不同的特点和适用场景。本文将介绍几种常见的伺服电机控制方式及其特点,并分析在实际应用中应注意的...

关键字: 伺服电机 控制 自动化

单片机的串口可以使单片机与单片机、单片机与电脑、单片机与各式各样的模块互相通信,极大地扩展了单片机的应用范围,增强了单片机系统的硬件实力。简单双向串口通信有两根通信线(发送端TX和接收端RX);TX与RX要交叉连接;当只...

关键字: 串行通信 SPI

大连2023年9月20日 /美通社/ -- 日前,国际独立第三方检测、检验和认证机构德国莱茵TÜV大中华区(以下简称"TÜV莱茵")受...

关键字: 控制 医疗服务 HEALTH 信息安全

 上海2023年9月7日 /美通社/ -- 近期,“国家卫生健康委国际交流与合作中心·因美纳公共卫生教育奖学金”项目(下称,“公共卫生教育奖学金”)完成首批奖学金颁发。该项目是由国家卫生健康委国际...

关键字: BSP 控制 组网 网络建设

张家港2023年8月25日 /美通社/ -- 对于塔机买家,需求的不仅仅是塔机的功能性,还有塔机的耐用性和残值。在这方面,波坦塔机以其卓越的品质和持久的稳定性,成为了一个值得信赖的选择。 当你在考虑购买二手塔机时,你应...

关键字: BSP 控制 变频调速 应力

上海2023年8月25日 /美通社/ -- 云顶新耀(HKEX 1952.HK)是一家专注于创新药和疫苗开发、制造、商业化的生物制药公司,今日宣布中国澳门特别行政区药物监督管理局已正式受理耐赋康®用于治疗IgA肾...

关键字: NDA 控制 新加坡 COM

上海2023年8月17日 /美通社/ -- 全球领先的专业信息、软件解决方案和服务供应商威科集团今天宣布,梦饷科技选择了CCH® Tagetik企业绩效管理(CPM)解决方案来应对全面预算管理需求。梦饷科技选择了...

关键字: TI GE 控制 软件
关闭
关闭