当前位置:首页 > 公众号精选 > 芯片验证工程师
[导读]a. 基于事务级的验证框架UVM 是一个事务级(TBV,TransactionBasedVerification)的验证模型框架,解决了用低层次的信号比特或比特向量的形式带来效率较低的问题。在事务级的验证中,低层次的信号活动被抽象成一个事务操作,这样可以通过高层次事务操作来描述各...

a. 基于事务级的验证框架
UVM 是一个事务级(TBV, Transaction Based Verification)的验证模型框架,解决了用低层次的信号比特或比特向量的形式带来效率较低的问题。


在事务级的验证中,低层次的信号活动被抽象成一个事务操作,这样可以通过高层次事务操作来描述各种验证场景。具体来说就是其测试激励可以定义类似于数据帧这样的抽象层次的事务。基于事务的验证大大改善了验证的效率。

b. 激励随机生成
在一个验证平台中,需要定义好输入 transaction 的结构和约束。结构包括transaction 中包括哪些内容,约束包括长度约束和值约束。


在验证过程中 UVM可以根据定义的结构和内容自动生成随机化的 transaction。只要随机化的种子相同,则每次生成 transaction 序列也是相同的,但是序列中每一个 transaction 是不同的。


约束随机激励测试能够覆盖大部分的代码和功能,检查出大部分的错误,但是对于想要进行更高质量的验证则需要添加直接测试,即手动生成 transaction。


c. 自动化比较和覆盖率统计分析
能够自动检查仿真输出和预期是否一致、能够自动完成代码覆盖率统计、状态覆盖率检查、状态变迁覆盖率等。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
关闭
关闭