当前位置:首页 > 芯闻号 > 充电吧
[导读] 从研一开始接触FPGA,刚开始接触的时候,认为很简单,不就是写写代码就okey了吗?所以混混谔谔地度过了3个月,因为刚开始的时候接触的时比较简单的算法,所以对设计的时序和性能要求不是很高,写写代码完全就可以了

 从研一开始接触FPGA,刚开始接触的时候,认为很简单,不就是写写代码就okey了吗?所以混混谔谔地度过了3个月,因为刚开始的时候接触的时比较简单的算法,所以对设计的时序和性能要求不是很高,写写代码完全就可以了,所以从来没有关心底层的东西(底层的手工布局布线),也很少写一些约束文件。可是后来随着设计月来月复杂,才认识到约束文件和底层布局布线的重要性。而这些东西设计的知识面还是比较广的 ,比如数字电路,高速时钟系统,电路工艺方面,系统设计等等。只有底层做好了,才是真正的高手。后来去709所调试板子的时候,有幸遇到一个经验很丰富并且很乐意帮助我们的工程师,她从90年代开始做FPGA,那个时候EDA工具不像现在智能化,她那个时候基本上完全是从底层做起来的,即使到我今年5月份去的时候,她才开始学习语言verilog,绝大部分工作都是自己从底层的模块搭建起来的。和我们的设计相比,她的设计性能更好,代价更小,系统更稳定。那一个月学到的东西,比我读研三年学到的东西更多。下面是自己的一些总结(个人意见,仅供参考):
1 要有对FPGA的兴趣,只有这样才能用最大的热情对待它。
2 要有对数字系统设计比较全面的把握,reg ,ram,counter,fifo,dsp,uc......
3 最好能有一个具体的项目(最好复杂一些,对系统的性能要求高一些),这样才能有的放矢,有压力才有动力。
4 找一个好的老师
5 重视底层,即使你的系统性能可以满足要求,也尝试着从底层进行一些开放和优化
6 多看看别人成功的例子,拓宽自己的视野,没事的时候到FPGA论坛逛逛。帮助他人也是帮助自己。
7 对要求实现的算法或者系统有一个清楚的认识
本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

北京2024年5月16日 /美通社/ -- 5月10日晚,2024中国品牌日晚会在新华社全媒体矩阵播出,50个入选品牌在当晚揭晓,极氪名列其中,成为首度上榜的中国造车新势力代表。 图为北京时间5月10日 21:30 ,...

关键字: 吉利 COM HTML 代码

Bourns® TLVR1005T 和 TLVR1105T 系列采用双绕组结构和低感值设计,可提供快速瞬态响应,并可依据 CPU、FPGA 和 ASIC 负载要求进行延展

关键字: 数据驱动 电感器 FPGA

对于大规模数据处理,最佳性能不仅取决于原始计算能力,还取决于高存储器带宽。 因此,全新 AMD Alveo™ V80 计算加速卡专为具有大型数据集的内存受限型应用而设计,这些应用需要 FPGA 硬件灵活应变能力以实现工作...

关键字: 自适应计算 FPGA

8b10b编码作为数字通信领域中的一项重要线路编码方案,其核心理念在于将每8位数据映射到10位编码中。这个映射过程严格按照特定规则进行,旨在保证编码中的电平转换足够,以维持信号的直流平衡,并提供足够的时钟信息,使接收端能...

关键字: FPGA 8b/10b编码 IC设计

在FPGA和IC设计领域,经常会面临一个挑战:多个端口同时竞争一个端口的数据。在这种情况下,采用RR调度策略可能是一种解决方案。

关键字: FPGA 嵌入式系统 IC设计

2024 年5月13日 – 专注于推动行业创新的知名新品引入 (NPI) 代理商™贸泽电子 (Mouser Electronics) 是英特尔®产品的全球授权代理商。英特尔®宣布正式成立Altera™,作为其独立运营的全...

关键字: FPGA 人工智能 以太网

5月10日消息,2023年全球十大IC设计公司出炉,英伟达依然稳稳的坐在了第一的位置。

关键字: 华为 12nm EDA 半导体

5月8日消息,据多家国外媒体报道,美国进一步收紧了对华为的出口限制,撤销了芯片企业高通和英特尔公司向华为出售半导体的许可证。

关键字: 华为 12nm EDA 半导体

4月24日消息,华为今日举办了2024华为智能汽车解决方案发布会。

关键字: 华为 12nm EDA 半导体
关闭
关闭