当前位置:首页 > 嵌入式 > 嵌入式教程


作者email: zlyadvocate@163.com 数字系统通常划分为信息处理单元和控制单元。信息单元主要进行信息的传输和运算, 而控制单元的主要任务是控制信息处理单元的微操作的顺序。控制单元的实现方式有: 有限状态机、控制寄存器和微代码控制器等。有限状态机在时间尺度上对其控制信号进行离散化控制, 利用状态转移使控制信号在有限状态机的状态节拍控制下变化, 以实现对被控对象的控制。有限状态机设计的关键是如何把一个实际的时序逻辑关系抽象成一个时序逻辑函数,传统的电路图输入法通过直接设计寄存器组来实现各个状态之间的转换, 而用硬件描述语言来描述有限状态机, 往往是通过充分发挥硬件描述语言的抽象建模能力,通过对系统在系统级或寄存器传输级进行描述来建立有限状态机。eda 工具的快速发展,使通过cad快速设计有限状态机自动化成为可能。传统上在系统级和寄存器传输级完成vhdl 的描述主要分以下几步:(1) 分析控制器设计指标, 建立系统算法模型图;
(2) 分析被控对象的时序状态, 确定控制器有限状态机的各个状态及输入.输出条件;
(3) 应用vhdl 语言完成描述。使用xilinx的ise6.1软件包能加速有限状态机设计,大大简化状态机的设计过程,实现状态机设计的自动化。下面分析二个简单的状态机设计实例来介绍使用ise6.1软件包中statecad来介绍快速设计有限状态机的方法。使用statecad进行状态机设计的流程如下:(1) 分析控制器设计指标, 建立系统算法模型图;
(2) 分析被控对象的时序状态, 确定控制器有限状态机的各个状态及输入.输出条件;
(3) 在statecad中输入有限状态机状态图,自动产生vhdl模型描述,使用statebench进行状态转移分析,分析无误后使用导出vhdl模型块到ise中进行仿真后综合,实现到cpld或fpga的映射。设计人员的主要工作在第一步。第二步,第三步基本上可以通过statecad完成有限状态机的自动生成和分析,还可以利用分析结果来对被控对象的逻辑进行分析,改进,完善系统控制逻辑。下面以一个vcr控制机状态机设计过程来介绍如何使用statecad设计状态机。
vcr控制机描述:外部输入:
1.powerswitch---------电源开关
2.stop----------------停按钮
3.play――――――――播放按钮
4.record―――――――录影按钮输出状态:
1. 有电显示:电源指示灯亮,播放指示灯灭,录影指示灯灭;
2. 按播放按钮,进入播放状态,播放指示灯亮,电源指示灯亮,录影指示灯灭;按停按钮,退出播放状态回到有电状态,播放指示灯灭,电源指示灯亮,录影指示灯灭;
3. 按录影按钮,进入录影状态,录影指示灯亮;按停按钮,退出录影状态回到有电状态;电源指示灯亮,播放指示灯灭,录影指示灯灭;
4. 电源开关断开,电源指示灯灭,播放指示灯灭,录影指示灯灭;打开statecad,输入如下的状态图:进行逻辑优化(工具自动进行逻辑优化)后,使用statebench进行状态转移分析。以下是自动状态转移模拟波形。也可以进行行为状态模拟:如以下动作的模拟波形,按电源开关上电,按播放按钮,按播放按钮,按停按钮,按录影按钮,按停按钮,电源开关断电。综合以上的模拟波形结果,可以看到状态机安装指定的状态转移图工作。
导出vhdl模型块到ise中进行仿真后综合后可以适配到xc9536-5-pc44芯片,适配结果如下:宏模块使用 pterms used 寄存器使用情况 引脚使用情况 iob使用情况
9/36 (25%) 37/180 (21%) 9/36 (25%) 13/34 (39%) 11/72 (16%)进行引脚锁定后就可以进行编程。
代码如下:
-- d:\xilinxtutorial\vcrstate.vhd
-- vhdl code created by xilinx"s statecad 6.1ilibrary ieee;
use ieee.std_logic_1164.all;entity vcrstate is
port (clk,playswitch,powerswitch,recordswitch,reset,stopswitch: in std_logic ;
playled,powerled,recordled : out std_logic);
end;architecture behavior of vcrstate is
type type_sreg is (off,play,poweron,recording);
signal sreg, next_sreg : type_sreg;
signal next_playled,next_powerled,next_recordled : std_logic;
begin
process (clk, reset, next_sreg, next_playled, next_powerled, next_recordled)
begin
if ( reset="1" ) then
sreg <= off; playled <= "0";
powerled <= "0"; recordled <= "0";
elsif clk=

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

摘要:根据广东电网公司计量设备轮换的规定及相关规程,每年需要对大量电能表或终端进行更换,同时由于计量终端属于实时通信类设备,故障率较电能表要高得多,日常运维的资源投入比较大,现场终端更换作业也很频繁。现通过研究不停电快速...

关键字: 快速 更换 计量终端

摘要:基于传统直角坐标机器人控制技术,以蓄电池极板连续生产线的码垛机器人为应用案例,根据设备需求、机器人控制原理和系统状态机的设计,介绍了一种通用的、灵活的、开发周期短的多轴直角坐标机器人控制方法。该机器人经过实践,验证...

关键字: 直角坐标机器人 状态机 控制系统

▼点击下方名片,关注公众号▼欢迎关注【玩转单片机与嵌入式】公众号,回复关键字获取更多免费资料。回复【加群】,限时免费进入知识共享群;回复【3D封装库】,常用元器件的3D封装库;回复【电容】,获取电容、元器件选型相关的内容...

关键字: 单片机 状态机 传感器中

在单片机裸机的编程方法中,状态机的方法是比较好的,经典的比如按键的检测判断等。其实,有很多地方可以使用这种思想,比如传感器的数据采集。因为单片机不可能一直等待着运行,那样的效率是很低的,通常都是结合fsmtimer的方式...

关键字: 单片机 状态机

关注、星标公众号,直达精彩内容来源:技术让梦想更伟大作者:ming_mei前言前些日子在微信上看到李肖遥的公众号,里面系统讲述了QP框架,我很有感触。我用QP框架很多年了,一开始是使用QM和QPC,到后来抛弃了QM,直接...

关键字: 单片机 状态机

星标「嵌入式大杂烩」,一起进步!来源:https://blog.csdn.net/qq_36969440/article/details/110387716状态机基本术语现态:是指当前所处的状态。条件:又称为“事件”,当...

关键字: 嵌入式 状态机 编程

关注、星标公众号,直达精彩内容来源:小鱼儿飞丫飞整理:技术让梦想更伟大|李肖遥前言:本框架实现的目的是在基于51单片机为控制芯片的产品内,因为51单片机的内存和堆栈比较有限,此框架比较简洁高效的。如果用于其他高性能的处理...

关键字: 状态机

来源:裸机思维作者:GorgonMeducer【说在前面的话】在前面的讲解中,我们介绍了如何使用状态图的方式来设计有限状态机、明确了状态图设计的“清晰”原则,并结合最简单和常用的switch状态机翻译模式详细说明了状态图...

关键字: 状态机

关注「嵌入式大杂烩」,选择「星标公众号」一起进步!来源:技术让梦想更伟大作者:李肖遥Blinky是自带的一个很简单的例子,也就是我们俗称的”HelloWorld!”,可以帮助我们了解QP。在这个blinky中,是以1HZ...

关键字: 状态机

来源:裸机思维作者:GorgonMeducer【说在前面的话】在前面的讲解中,我们介绍了如何使用状态图的方式来设计有限状态机、明确了状态图设计的“清晰”原则,并结合最简单和常用的switch状态机翻译模式详细说明了状态图...

关键字: 状态机
关闭
关闭