半导体设备和材料供应商盘点︱产业链专题
扫描二维码
随时随地手机看文章
半导体设备主要运用于集成电路的制造和封测两个流程,分为晶圆加工设备、检测设备和封装设备,以晶圆加工设备为主。检测设备在晶圆加工环节(前道检测)和封测环节(后道检测)均有使用。
晶圆加工流程包括氧化、光刻和刻蚀、离子注入和退火、气相沉积和电镀、化学机械研磨、晶圆检测。所用设备包括氧化/扩散炉、光刻机、刻蚀机、离子注入机、薄膜沉积设备(PVD和CVD)、检测设备等。
据平安证券2019年10月份的报告显示,晶圆厂投资总金额中,设备投资占比70%-80%,基建和洁净室投资占比20%-30%。目前在建的8寸和12寸晶圆厂,总投资金额超过900亿美元,按照70%的比例测算,累计的相关设备投资超过630亿美元。
在市场规模方面,据SEMI数据,2018年全球半导体设备市场达到645.5亿美元,同比增长14%。SEMI预计2019年全球市场有所调整,2020年将重回增长。区域分布上,韩国、中国大陆、中国台湾、日本、北美、欧洲分别占比27%、20%、16%、15%、9%、7%。2018年中国大陆首次超过中国台湾地区,成为全球半导体设备第二大市场。
半导体设备分为晶圆加工设备、检测设备、封装设备和其他设备。SEMI预计,2019年全球晶圆加工设备、检测设备和封装设备市场规模分别为422亿美元、47亿美元和31亿美元。晶圆加工设备是主要设备,占全部设备比重约80%。
半导体材料
半导体材料是指电导率介于金属与绝缘体之间的材料,半导体材料的电导率在欧/厘米之间,一般情况下电导率随温度的升高而增大。半导体材料是制作晶体管、集成电路、电力电子器件、光电子器件的重要材料。
半导体材料市场可以分为晶圆材料和封装材料市场。其中,晶圆材料主要有硅片、光掩膜、光刻胶、光刻胶辅助设备、湿制程、溅射靶、抛光液、其他材料。封装材料主要有层压基板、引线框架、焊线、模压化合物、底部填充料、液体密封剂、粘晶材料、锡球、晶圆级封装介质、热接口材料。
在半导体材料领域,由于高端产品技术壁垒高,国内企业长期研发投入和积累不足,我国半导体材料在国际分工中多处于中低端领域,高端产品市场主要被欧美日韩台等少数国际大公司垄断,比如:硅片全球市场前六大公司的市场份额达90%以上,光刻胶全球市场前五大公司的市场份额达80%以上,高纯试剂全球市场前六大公司的市场份额达80%以上,CMP材料全球市场前七大公司市场份额达90%。
半导体材料包括半导体制造材料与半导体封测材料,2019年4月2日,SEMI Materials Market Data Subscription公布全球半导体材料2018年销售额为519亿美元,同比增长10.6%,超过2011年471亿美元的历史高位。其中,晶圆制造材料和封测材料的销售额分别为322亿美元和197亿美元,同比增长率分别为15.9%和3.0%。2009年,制造材料市场规模与封测材料市场规模相当,从此至今,制造材料市场规模增速一直高于封测材料市场增速。经过近十年发展,制造材料市场规模已达封测材料市场规模的1.62倍。
根据SEMI预测,2019年硅片、电子气体、光掩膜、光刻胶配套化学品的销售额分别为123.7亿美元、43.7亿美元、41.5亿美元、22.8亿美元,分别占全球半导体制造材料行业37.29%、13.17%、12.51%、6.87%的市场份额。其中,半导体硅片占比最高,为半导体制造的核心材料。
产业链厂商
点击可放大
厂商名录
半导体前端设备
光刻
中国大陆:
上海微电子(SMEE):上海微电子是在国家科技部和上海市政府共同推动下,由国内多家企业集团和投资公司共同投资组建的高科技企业。公司成立于2002年,主要从事半导体装备、泛半导体装备以及高端智能装备的设计制造销售,其中光刻设备是公司的主营业务。公司在光刻设备领域拥有全国最先进的技术。目前公司光刻机可以应用于集成电路产业链中晶圆制造、封装测试,以及平板显示、高亮度 LED 等领域。
欧洲:
阿斯麦(ASML):总部位于荷兰艾恩德霍芬,是全球最大的半导体设备制造商之一,为半导体生产商提供光刻机及相关服务,TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。目前全球绝大多数半导体生产厂商,都向ASML采购TWINSCAN机型。
ASML的产品线分为PAS系列,AT系列,XT系列和NXT系列。市场上的主力机种是XT系列以及NXT系列,为ArF和KrF激光光源,XT系列是成熟的机型,分为干式和沉浸式两种,而NXT系列则是现在主推的高端机型,全部为沉浸式。目前已经商用的最先进机型是Twinscan NXT 1950i,属于沉浸式光刻机,用来生产关键尺度低于38纳米的集成电路。
目前市场上提供量产商用的光刻机厂商有三家:ASML、尼康、佳能。根据2007年的统计数据,在中高端光刻机市场,ASML占据大约60%的市场份额。而最高端市场(例如沉浸式光刻机),ASML大约目前占据80%的市场份额。2007年收购睿初(Brion)科技,2012年10月收购Cymer公司。
日本:
尼康(Nikon):尼康是日本著名的相机制造商,成立于1917年,同时也是分步重复半导体生产设备(分档器)的制造商,世界上仅有的三家能够制造商用光刻机的公司。
尼康的G-line、I-line步进式光刻机(stepper)、投影式光刻机在全球晶圆厂大量使用。
佳能(Canon):半导体器件制造中最重要的步骤是光刻,其中通过通常称为步进机或扫描仪的精密半导体光刻设备将电路图案从掩模转移到晶圆或面板。
佳能开发了一系列的半导体光刻设备,旨在满足传统半导体晶圆加工之外的广泛应用的技术要求。产品包括KrF扫描仪/步进器、适用于前端(FEOL)应用的i-line步进器、适用于后端(BEOL)和高级包装应用的i-line步进器。
沉积
中国大陆:
北方华创(Naura):北方华创是由七星电子和北方微电子战略重组而成,是目前国内集成电路高端工艺装备的领先企业。
北方华创主营半导体装备、真空装备、新能源锂电装备及精密元器件业务,为半导体、新能源、新材料等领域提供解决方案。公司现有四大产业制造基地,营销服务体系覆盖欧、美、亚等全球主要国家和地区。
拓荆科技(Piotech):沈阳拓荆科技有限公司成立于2010年4月,是由海外专家团队和中科院所属企业共同发起成立的国家高新技术企业。2016年、2017年连续两年获评“中国半导体设备五强企业”。公司拥有12英寸PECVD(等离子体化学气相沉积设备)、ALD(原子层薄膜沉积设备)、3DNANDPECVD(三维结构闪存专用PECVD设备)三个完整系列产品,技术指标达到国际先进水平。产品广泛应用于集成电路前道和后道、TSV封装、光波导、LED、3D-NAND闪存、OLED显示等高端技术领域。
美国:
泛林集团(Lam Research):泛林集团于1980年由林大卫博士创办,总部位于美国加州的弗里蒙特,是一家从事集成电路制造、制造、销售和服务的美国公司,是半导体产业提供晶圆制造设备和服务的主要供应商之一。其产品广泛分布于半导体制造,包括薄膜沉积、等离子蚀刻、光刻胶带、晶圆清洗等设备的设计和制造方面。
应用材料公司(Applied Materials):应用材料公司是全球最大的半导体设备和服务供应商,创建于1967年,总部位于美国加利福尼亚州圣克拉拉,主要为全球的半导体行业开发、制造、行销并维修半导体晶圆制造设备及相关备件。
应用材料的客户包括半导体晶圆与集成电路制造商、平面液晶显示器、太阳能电池与模块等所有的制造商。应用材料公司还是全球半导体行业中最大且最成功的硅片制造、检测设备和掩膜设备制造商,同时提供尖端生产工艺、高效服务和先进软件产品。应用材料的产品对于全球的半导体集成电路市场具有举足轻重的地位和意义,全球知名的半导体企业均采用应用材料的设备和服务生产集成电路产品。应用材料公司已经连续15 年名列全球半导体设备供应商第一名。
韩国:
Wonik IPS:WONIK IPS于1998年在世界上首次成功实现了ALD设备的量产,同时跻身半导体设备领域的核心企业,并于2014年在半导体CVD设备开发及量产上取得了成功,提高了占有率。
而且,除半导体设备外还具备Display的干式蚀刻机( Dry Etcher )、PE-CVD 及太阳能电池( Solar Cell )的有/无机蒸镀机领域等多方面的产品组合,作为综合设备公司脱颖而出。2014年在需求日益增长的3D NANS Flash领域的核心生产设备Mold工艺设备的量产化上取得了成功,并于2018年成功进入10纳米工艺的DRAM High-K市场。
PSK:PSK自1990年成立以来,一直从事于半导体设备的研发和生产,是的半导体专业生产企业。公司向全世界20多家半导体元件企业和封装企业利用电浆方式(Plasma),的灰化(Ashing)、清洗(Dry Cleaning)、 蚀刻(Etching) 工艺和用于封装工艺的WLP Descum、TSV Treatment设备和解决方案。尤其在 灰化工艺和电浆预处理(Descum) 工艺领域居全球第一。
1997年,公司在科斯达克(KOSDAQ)市场上市。
日本:
东京电子(Tokyo Electron):东京电子有限公司是一家日本电子和半导体公司,总部位于东京。东京电子是一家制造集成电路,平板显示器和光伏电池供应商。东京电子器件株式会社是东京电子有限公司旗下子公司,公司专门制造半导体器件,电子元件和网络设备。
截至2011年,东京电子是日本IC和PFD设备最大制造商,也是世界第三大IC和PFD设备制造商。2013年9月24日,东京电子和应用材料公司宣布合并。合并后的公司被称为Eteris,它将是世界上最大的半导体加工设备供应商。
检测
中国大陆:
格兰达科技(Grand):格兰达是中国精密机电一体化制造的领先供应商。格兰达从1995年的机械加工和自动化装置、工装夹具业务起步,先后建立精密CNC加工、饭金加工、表面处理(喷涂、氧化)、点胶、模具压铸和电子组装等专业部门和团队。2003年建立研发中心,在半导体封装设备、硬盘检测设备、自动化设备、机械手集成应用设备和数控机床设备等领域先后取得了100多个发明专利和实用新型专利。
格兰达从成立之初在深圳福田租赁600平方米厂房起步,先后购置和建设深圳福田金谷办公室、深圳坪山装备产业园及江门数控装备产业园;并陆续在香港、新加坡、上海、江门、美国等地设立公司或生产基地。
睿励科学仪器(Raintree):睿励科学仪器(上海)有限公司是于2005年创建的合资公司,致力于研发、生产和销售具有自主知识产权的集成电路生产制造工艺装备产业中的工艺检测设备。为用户提供光学测量设备、光学关键尺寸分析系统、镓液态离子源、缺陷检测、等离子体灰化等产品,广泛用于科学仪器、微电子等领域,目前拥有的主要产品包括光学检测设备、硅片厚度及翘曲测量设备及子公司宏观缺陷检测设备等。
美国:
KLA:是一家从事半导体及相关纳米电子产业的设计、制造及行销制程控制和良率管理解决方案商,其产品包括晶片制造、晶圆制造、光罩制造、互补式金属氧化物半导体(CMOS)和图像感应器制造、太阳能制造、LED制造,资料储存媒体/读写头制造、微电子机械系统制造及通用/实验室应用等。
此外,科磊半导体公司还提供翻新的KLA-Tencor工具,连同其KT认证计画予客户制造更大的设计规则装置及产品支援服务。公司产品应用于许多其他行业,包括LED,资料储存和太阳能等产业,以及一般材料的研究。
韩国:
Unitest:半导体设备厂商,主要产品有半导体后道测试设备,存储器模组测试设备实力较强。
Techwing:除了设备还生产半导体产业用的材料如 COK。
日本:
日立高科技(Hitachi Hightechnologies):2001年10月,日立仪器集团和半导体制造设备集团合并后,公司名称更改为日立高科技公司。日立高科技在满足小型化和节能要求的电子设备制造领域处于最前沿,提供核心技术的解决方案,例如全球最畅销的测长SEM和提供高精度的等离子刻蚀系统超细加工、过程制造设备和检查系统,以及在许多研究领域中使用的电子显微镜。产品包括干蚀刻系统、CD-SEM和缺陷检查、电子显微镜/原子力显微镜等。
蚀刻
中国大陆:
中微半导体(AMEC):中微半导体设备(上海)股份有限公司是一家以中国为基地、面向全球的高端半导体微观加工设备公司,为全球集成电路和 LED 芯片制造商提供极具竞争力的高端设备和高质量的服务。中微公司涉足半导体芯 片前端制造、先进封装、LED 生产、MEMS 制造以及其他微观制程的高端设备领域。其中中微公司的等离子体刻蚀设备已被广泛应用于国际一线客户从 65 纳米到 5 纳米工艺的众多刻蚀应用,中微公司开发的用于 LED 和功率器件外延片生产的 MOCVD 设备已在客户生产线上投入 量产,2018 年公司在全球氮化镓基 LED MOCVD 设备市场占据领先地位。
公司主要产品有:
CCP 刻蚀设备:为 65 纳米到 5 纳米及更先进工艺的芯片制造提供创新的解决方案 ;ICP 刻蚀设备:为 1X 纳米及更先进工艺的逻辑和存储器件刻蚀应用提供创新的解决方案;深硅刻蚀设备:用于 CIS、MEMS 和先进封装等领域;MOCVD 设备:用于 LED 和功率器件外延片批量生产;VOC 净化设备:用于平板显示领域 VOC 废气处理。
2019年7月,科创板上市。
光刻胶加工
中国大陆:
芯源微电子(Kingsemi):沈阳芯源微电子设备股份有限公司成立于2002年,是由中科院沈阳自动化研究所发起创建的国家高新技术企业,专业从事半导体生产设备的研发、生产、销售与服务,致力于为客户提供半导体装备与工艺整体解决方案。
产品包括光刻工序涂胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机),产品可用于6英寸及以下单晶圆处理(如LED芯片制造环节)及8/12英寸单晶圆处理(如集成电路制造前道晶圆加工及后道先进封装环节)。
2019年12月16日于科创板上市。
日本:
SCREEN:DAINIPPON SCREEN是日本半导体设备和LCD 生产设备厂。公司设备制造包括半导体、LCD、印刷电路板制程设备,客户遍及日本、韩国和台湾。另外还提供图像处理设备,如CTP版(打印输出设备)、数字印刷、印刷制版设备及其他字体及维修保养服务。2015年1月,公司更名为SCREEN Holdings Co.Ltd。
SCREEN现在正在发展和生产印刷领域及世界领先的高科技领域的印刷技术数字化设备,如电子领域的半导体制造设备,FPDs (平板显示器)和印刷电路板。SCREEN提供各领域之半导体晶圆设备,包含洗净、蚀刻、显影/涂布等制程用途,其中洗净设备于半导体业界具有极高之市占率,同时随着半导体制程技术进步不断推陈出新设备产品。
清洗
中国大陆:
盛美半导体(ACM):盛美半导体设备(上海)有限公司的前身是1998年成立于美国硅谷的ACM Research,主营电抛光技术的研究。然而,当时由于市场不成熟、迫于资金压力,同时看到了国内市场前景,王晖随后回国成立了合资公司盛美半导体。盛美半导体设备(上海)有限公司成立于2005年5月,是一家注册于中国上海张江高科技园区的、具备世界领先技术的半导体设备制造商,公司在华投资逾三亿。是中国一家专注于集成电路制造产业中电镀铜设备、抛铜设备、单晶圆清洗设备的研发及生产的公司。
日本:
芝浦机电(Shibaura Mechatronics):芝浦机电有限公司成立于1939年10月12日,产品包括FPD制造设备、半导体制造设备、真空应用设备以及其他各种应用设备。
半导体材料
基板
中国大陆:
珠海越亚(Access):珠海越亚半导体股份有限公司最早由中国、以色列两国企业合资组建,主要从事具有自主知识产权的刚性有机IC无芯封装基板的研发、生产和销售。自2006年成立以来,公司以“新型集成电路支撑结构及其制作方法”等为核心进行高新技术成果转化,成为世界上首家采用“铜柱法”生产无芯封装基板并实现量产的高科技企业。
公司主要为客户提供封装基板解决方案以及定制化的高密度有机无芯IC封装基板,产品经过封装测试厂的封测后,最终运用于手机、平板电脑、游戏机等便携式终端消费电子产品。目前公司主要研发生产应用于模拟芯片封装领域的无线射频模块(RF Module)封装基板,此类产品已处于量产阶段,已通过国际芯片企业威讯联合半导体(RFMD)、安华高科技(Avago)等客户认证并获得其量产订单,该等客户已进入iPhone、三星Galaxy系列等智能手机以及iPad、Galaxy Tab等平板电脑的供应链。
兴森科技(Fastprint):兴森科技成立于1999年,是国内知名的印制电路板样板、快件、小批量板的设计及制造服务商,为该细分领域的龙头企业,在PCB样板、小批量板市场有较强的竞争力和议价能力。公司继续围绕PCB业务、军品业务、半导体业务三大业务主线开展。其中PCB业务包含样板快件、小批量板的设计、研发、生产、销售以及表面贴装;半导体业务产品包含IC封装基板和半导体测试板。
半导体业务包含IC封装基板和半导体测试板业务,IC封装基板采用设计、生产、销售的经营模式,在各种产品中均有应用,包括手机PA及服务器使用的内存条、SSD硬盘使用的NAND Flash,移动设备中的存储MMC等;半导体测试板采用提供设计、销售、制造、表面贴装整体解决方案的一站式服务经营模式,产品应用于从晶圆测试到封装前后测试的各流程中,类型包括接口板、探针卡和老化板,公司目前的半导体测试板产品主要为接口板,子公司上海泽丰为客户提供半导体测试综合解决方案,并将美国Harbor公司、公司本部三方各自的优势有效协同,为客户提供一站式服务。
深南电路(SCC):深南电路股份有限公司,成立于1984年,注册资本3.3936亿元,总部坐落于中国广东省深圳市,主要生产基地位于中国深圳、江苏无锡及南通,业务遍及全球,在北美设有子公司,欧洲设有研发站点。
深南电路拥有印制电路板(背板、高速多 层板、多功能 金属基板、厚 铜板、高频微 波板、刚挠结合板)、封装基板(存储芯片封装 基板、微机电 系统封装基 板、射频模块 封装基板、处理器芯片封装基板和高速通信封装基板)及电子装联(PCBA板级、 功能性模块、整机产品/系统总装)三项业务。
韩国:
三星电机(SEMCO):三星电机是韩国最大的企业集团三星集团旗舰子公司,也是世界上营收最大的电子工业制造商。三星电机有限公司创建于1973年,起初是一个电子产品核心部件的生产商,逐步成长为韩国拥有61.2亿美元总收入的电子零部件生产业的领头羊,并在全球市场中扮演着重要角色。公司由四个部门构成:LCR(电感电容电阻)部门负责的多层陶瓷贴片电容和极性电容;ACI(高级电路互连)部门负责高密度互连和IC(集成电路)的业务;CDS(电路驱动解决方案)部门的业务细分数字调谐器,网络模块,能源模块和其他普通模块;OMS(光感及机械电子)部门业务包括图像传感器模块以及精密马达等。
LGI:
晶圆
中国大陆:
上海硅产业集团(NSIG):硅产业集团主要从事半导体硅片的研发、生产和销售,是中国大陆规模最大的半导体硅片企业之一,是中国大陆率先实现300mm半导体硅片规模化销售的企业,提供的产品类型涵盖300mm抛光片及外延片、200mm及以下抛光片、外延片及SOI硅片。
旗下公司有Okmetic”、 “新晟半导体”、 “新傲科技”等。
上海超硅半导体(AST):上海超硅半导体有限公司拥有目前国内最大的8英寸硅片抛光生产线和蓝宝石材料生产线;公司产品包括半导体硅材料、LED用蓝宝石材料、太阳能电池用硅材料、复合半导体材料、MEMS等特定使用材料以及相关的各种技术咨询与服务。公司拥有按一流超大规模集成电路生产要求设计的最高净化级别为10级0.1um的标准厂房和具有生产高质量稳定规模化生产水平的生产设备和完善的质量监控与表征设备体系。
中欣晶圆半导体(Ferrotech):Ferrotec(中国)于1992年成立于浙江杭州,是一家由日本Ferrotec株式会社在华设立的集产品研发、制造、销售于一体的多元化企业,旗下管理的20多家公司遍布中国各地,为国内外客户提供具有世界先进水平的材料、器件、装备和系统解决方案。其中Ferrotec株式会社行销网络遍布全球,在中国、日本、美国、德国、法国、意大利、西班牙、俄罗斯、韩国、马来西亚等地设立据点公司,是一家拥有多项高端生产技术的跨国集团。
Ferrotec(中国)以磁性流体技术和磁流体密封技术为基石,从事磁性流体密封圈、半导体硅片、热电半导体致冷材料与器件、半导体石英制品、精密陶瓷制品、半导体真空传动装置及大型腔体、太阳能发电材料、电子束蒸发镀膜机等产品的研发、制造和销售,产品涉及电子、半导体、机械加工、太阳能发电、汽车/新能源汽车、航空航天、家用电器和医疗器械等众多领域。
北京奕斯伟科技(ESWIN):北京奕斯伟计算技术有限公司(ESWIN)创办于2016年3月,核心事业包括物联网及人机交互集成电路设计、封测和材料三大领域。产品广泛应用于显示器件、人工智能、车联网、可穿戴设备等领域。
ESWIN总部设在北京,在北京、成都、合肥、苏州、台湾、韩国设有研发中心,同时在成都、合肥、苏州等地也拥有多个制造基地和产业园区,并在香港设有营销及技术创新平台,产品覆盖欧、美、亚等全球主要地区。
上海新傲科技(Simgui):上海新傲科技有限公司成立于2001年,2009年6月整体变更改制为上海新傲科技股份有限公司,由中科院上海微系统所牵头,联合中外投资者设立。
新傲公司目前是中国领先的SOI材料生产基地,也是世界上屈指可数的SOI材料规模化供应商之一。拥有SIMOX(注氧隔离)、Bonding(键合)和Simbond(完全自主开发的SOI新技术)和Smart-cut四类SOI晶片制造技术,能够提供100mm(4英寸)、125mm(5英寸)和150mm(6英寸)SOI晶片和SOI外延片,能批量提供8英寸SOI片。产品系列包括高剂量、低剂量、超薄、高阻SIMOX晶片,Bonding晶片,Simbond晶片和基于Smart-cut技术的晶片,并可根据用户需求外延到所需的表层硅厚度。
新傲公司目前也是中国技术领先的外延硅片供应商,可以提供4-6英寸的规格与要求的外延硅产品和外延加工服务,现已开始批量提供8英寸外延片。
天津中环半导体(Zhonghuan):天津中环半导体股份有限公司成立于1999年,前身为1969年组建的天津市第三半导体器件厂,2004年完成股份制改造,2007年4月在深圳证券交易所上市,是生产经营半导体材料和半导体集成电路与器件的高新技术企业。公司主营业务包括高压器件、功率集成电路与器件、单晶硅和抛光片四大方面,形成了具有产品特征和行业属性强关联的多元化经营。
在高压器件领域,主要有高压二极管、硅整流二极管、硅桥式整流器、微波炉用高压二极管、工业用特种高压二极管等产品,并且将扩散片、GPP芯片、TVS芯片等业务作为发展方向。在功率器件领域,功率器件事业部6英寸0.35微米功率半导体器件生产线是天津市二十大重点工业项目,是一条以半导体芯片制造、测试为目的的生产线,该生产线拥有国内先进的6英寸线生产设备,主要产品为功率集成电路,以及VDMOS、Trench MOS、Schottky、FRD、IGBT等系列功率分立器件。
在单晶硅材料领域,形成了以直拉硅棒、区熔硅棒、直拉硅片、区熔硅片为主的四大产品系列,是中国硅单晶品种最齐全的厂家之一。产品主要应用于半导体集成电路、半导体分立器件、电力电子器件、太阳能电池和其他微电子器件。
韩国:
SK Siltron:LG Siltron原是LG旗下制造半导体芯片基础材料半导体硅晶片的专门企业。2017年1月,SK集团收购了LG Siltron 51%的股份,并将其更名为SK Siltron。
中国台湾:
环球晶圆(GlobalWafers):环球晶圆在台湾、中国大陆、日本与欧美等地均有布局,公司已与日本半导体设备厂Ferrotec合作建置上海8英寸硅晶圆厂,初期月产能约达10万片。同时,双方也已洽商在杭州另行兴建8英寸厂,初步规划于2019年底时可开始生产。
环球晶圆是中美硅晶的子公司,2012年收购通过前身为东芝陶瓷的 CovalentMaterials(现为CoorsTek)的半导体晶圆业务,扩大了业务范围。后通过收购全球第四大半导体硅晶圆制造与供货商SunEdisonSemiconductor一跃成为第三大硅晶圆供货商。
台塑胜高(Formosa SUMCO):台塑胜高科技股份有限公司于1995年成立并与日本小松电子材料公司签订特许授权及协助合约,于1998年8吋建厂完成,产出第一根8吋晶棒。
台塑胜高专注于矽晶圆产业领域,结合SUMCO集团优越生产技术和台塑企业优秀管理制度,不断追求品质精进和成本合理化以提供物廉质优矽晶圆予国内各大电子厂,并借由提升市场占有率,以强化公司整体竞争力。
台湾合晶(Wafer Works):Wafer Works是一家世界级的电子材料供应商,专门生产各种掺杂的硅晶片,该晶片广泛用于电力和数字应用的半导体器件中。通过垂直整合的单晶锭,抛光和Epi晶圆产品线为客户提供广泛的晶圆解决方案。
日本:
日本信越(Shin-Etsu):全球集成电路用硅片制造商巨头。作为高科技材料的超级供应商,信越集团不断地提供着最尖端的技术和产品,其半导体硅、聚氯乙烯等原材料的供应在全球首屈一指。
目前信越集团制造的高性能有机硅产品多达 4000 多种,现已广泛应用于电子、电气、汽车制造、机械制造、化工、纺织、食品工业以及建筑工程领域,并在所有产业方面提供了高附加价值的产品。
日本胜高(SUMCO):SUMCO 成立于1999年7月30日,主要业务为半导体用硅晶片的制造和销售。
Ferrotec:Ferrotec于1980年9月在东京都港区成立,负责进口和销售计算机密封件,真空密封件和磁性流体。1992年1月在中国杭州成立杭州大和热磁电子有限公司,95年在中国上海成立上海申和热磁电子有限公司。
Ferrotec共有8个主要产品类型,热模块、功率半导体基板、磁性流体、真空密封、石英产品、陶瓷制品、CVD-SiC产品以及半导体硅晶片。
RS Technologies:RS Technologies是全球最大的晶圆再生制造公司之一,业务范围包括电子材料、电子器械部品、通信器械部品材料的制造、加工、再生和销售;太阳光发电事业 、半导体设备的收购、销售;半导体材料、部件的销售;半导体晶圆制造工程中的技术咨询服务。
光刻
中国大陆:
北京科华微电子(Kempur):北京科华微电子材料有限公司是一家中美合资企业,成立于2004年,产品覆盖KrF(248nm)、I-line、G-line、紫外宽谱的光刻胶及配套试剂。
科华微电子拥有中高档光刻胶生产基地:2005年,建成百吨级环化橡胶系紫外负性光刻胶和千吨级负性光刻胶配套试剂生产线;2009年5月,建成高档G/I线正胶生产线(500 吨/年)和正胶配套试剂生产线(1000 吨/年);2012年12月,科华微电子建成248nm光刻胶生产线。
科华微电子光刻胶产品序列完整,产品应用领域涵盖集成电路(IC)、发光二极管(LED)、分立器件、先进封装、微机电系统(MEMS)等。产品类型覆盖KrF(248nm)、G/I线(含宽谱),主要包括:KrF光刻胶DK1080、DK2000、DK3000系列;g-i line光刻胶KMP C5000、KMP C7000、KMP C8000、KMP EP3100系列和KMP EP3200A系列;Lift-off工艺使用的负胶KMP E3000系列;用于分立器件的BN、BP系列等。
韩国:
东进半导体(Dongjin Semi):Dongjin Semichem成立于1967年,生产和销售用于半导体和显示器的材料,用于替代能源的材料(太阳能电池,燃料电池)和发泡剂。半导体和显示材料包括光致抗蚀剂,减反射膜(BARC),旋涂碳(SOC),研磨剂(CMP浆料),湿化学药品,有色抗蚀剂,有机绝缘体,柱状间隔物等有助于现代化和整合的化学工艺材料。
凭借在发泡剂领域积累的声誉和技术,Dongjin Semichem在1980年代初对半导体和显示材料行业进行了开拓性投资。1983年,在完成EMC业务之后,该公司进入了半导体材料领域,并在硅片上应用了用于半导体的光刻胶,以形成众多半导体电路的精细图案。
JSR:日本JSR是全球最大的光刻胶生产厂,占据全球份额24%,也是三星、SK海力士进口光刻胶的主要来源。
东京应化工业(Tokyo Ohka Kogyo):东京应化工业成立于1940年10月25日,业务范围包括生产制造材料,例如用于半导体和显示器的光刻工艺的光敏树脂(光致抗蚀剂)和高纯度化学品,各种加工设备(例如半导体和显示器制造设备)以及其他无机和有机化学品制造销售。
溅击
中国大陆:
江丰电子(KFMI):宁波江丰电子材料股份有限公司创建于2005年,专业从事超大规模集成电路制造用超高纯金属材料及溅射靶材的研发生产,于2017年6月在深交所上市。
江丰电子在全球先端7nm FinFET (FF+)技术超大规模集成电路制造领域批量应用。目前江丰电子的销售网络覆盖欧洲、北美及亚洲各地,产品应用到多家国内外知名半导体、平板显示及太阳能电池制造企业。
化学机械研磨液
中国大陆:
安集微电子(Anji):安集微电子科技(上海)股份有限公司是一家以自主创新为本,集研发、生产、销售及技术服务为一体的高科技半导体材料公司。公司主营业务为关键半导体材料的研发和产业化,目前产品包括不同系列的化学机械抛光液和光刻胶去除剂,主要应用于集成电路芯片制造和先进封装领域。
公司位于上海浦东新区,在上海拥有一座研发中心和一座生产基地,并在台湾地区、浙江宁波分别设立全资子公司。目前客户遍及中国大陆、台湾地区、美国、欧洲、新加坡、马来西亚等国家和地区。
韩国:
Soulbrain:Soulbrain 是为半导体和平板显示器制造商提供特种电子材料的关键供应商。
KC Tech:除了设备还生产半导体及面板产业用的各种化学品。
日本:
日本富士美(Fujimi Incorporated):公司经营范围:提供化学机械研磨和晶圆研磨用浆料。
日本 FUJIMI 公司,拥有世界高科技领域所使用研磨材料最大的市场占有率。在超过半个世纪的光电产业发展中,随着各类新型材料的出现 FUJIMI 公司针对被加物件各异的理化特性,研发了各类不同的研磨微粉、抛光材料,充分地满足了市场需求。
化学品
中国大陆:
润玛电子材料(Runma):江阴润玛电子材料股份有限公司于2002年创立,2012年整体变更设立股份有限公司。目前公司产品已在国内半导体分立器件、大规模集成电路、硅材料处理、平面显示器行业的主要厂家广泛应用,特别是自主开发的RM-A、RM-B系列超净高纯电子化学品,填补了国内空白,替代部分进口,已成熟应用于多家大型微电子领域企业。
上海华谊(Huayi):上海华谊(集团)公司是由上海市政府国有资产监督管理委员会授权,通过资产重组建立的大型化工企业集团。
上海华谊80%的核心资产已在上海证券交易所成功上市;旗下企事业单位有双钱轮胎集团有限公司、上海华谊能源化工有限公司、上海天原集团有限公司、上海华谊精细化工有限公司、上海华谊集团投资有限公司、上海氯碱化工股份有限公司、上海华谊丙烯酸有限公司、上海华谊集团资产管理有限公司、上海市化工科学技术情报研究所、上海市化工环境保护监测站等。其中“华谊集团”、“氯碱化工”同时发行A、B股。
上海新阳半导体(Sinyang):上海新阳半导体材料股份有限公司创立于1999年7月,2011年6月在深圳证券交易所创业板上市。上海新阳形成了拥有完整自主可控知识产权的电子电镀和电子清洗两大核心技术,用于晶圆电镀与晶圆清洗的第二代核心技术已达到世界领先水平。产品广泛应用于集成电路制造、3D-IC先进封装、IC传统封测等领域,满足芯片铜制程90-28nm工艺技术要求,相关产品已成为多家集成电路制造公司28nm技术节点的基准材料(Base Line)。
公司已立项研发集成电路制造用高分辨率193nm ArF光刻胶及配套材料与应用技术,拥有完整自主可控知识产权的高端光刻胶产品与应用即将形成公司的第三大核心技术,公司在国内半导体功能性化学材料领域的领先地位将更加稳固。
韩国:
SK Materials:SK 集团子公司,主要产品有半导体封装用的 Bonding Wire, Solder Ball, Gold evaporate material, Gold sputtering target 等等。
Wonik Materials:圆益子公司,生产半导体及面板产业用的特殊气体。
DNF:半导体材料厂商,主要产品有有机金属化合物,DPT,High-k 产品,HCDS 产品,ACL 产品等等。
晶圆代工厂
中国大陆:
中芯国际(SMIC):中芯国际集成电路制造有限公司是世界领先的集成电路晶圆代工企业之一,也是中国内地技术最先进、配套最完善、规模最大、跨国经营的集成电路制造企业,提供0.35微米到14纳米不同技术节点的晶圆代工与技术服务。中芯国际总部位于上海,拥有全球化的制造和服务基地。在上海建有一座300mm晶圆厂和一座200mm晶圆厂,以及一座控股的300mm先进制程晶圆厂在建设中;在北京建有一座300mm晶圆厂和一座控股的300mm先进制程晶圆厂;在天津和深圳各建有一座200mm晶圆厂;在江阴有一座控股的300mm凸块加工合资厂。中芯国际还在美国、欧洲、日本和中国台湾设立营销办事处、提供客户服务,同时在中国香港设立了代表处。
华虹集团(Hua Hong):上海华虹(集团)有限公司成立于1996年,是国家“909”工程的成果与载体。华虹集团在建设运营我国第一条深亚微米超大规模8英寸集成电路生产线的同时,逐步发展成 为以芯片制造业务为核心,集成电路系统集成和应用服务、芯片制造工艺研发、电子元 器件贸易、海内外风险投资等业务平台共同发展的集成电路产业集团。
上海华力微电子(Shanghai Huali):上海华力提供广泛的工艺技术平台及配套IP解决方案,全面应用于手机通信、消费类电子、智能卡、物联网、穿戴电子以及汽车电子等终端产品;同时也专注于差异化路线,重点布局射频、高压、嵌入式闪存、超低功耗、NOR闪存和图像传感器等特色工艺平台,致力于为国内外芯片设计公司、IDM公司和其他系统公司提供先进的工艺技术和全面的芯片制造服务。
上海先进半导体(ASMC):上海先进半导体制造有限公司于1988年由中荷合资成立为上海飞利浦半导体公司,1995年易名为上海先进半导体制造有限公司,2004年改制为上海先进半导体制造股份有限公司,2019年被上海积塔半导体有限公司吸收合并,改制为上海先进半导体制造有限公司。
上海先进是一家大规模集成电路芯片制造公司。目前,公司有5英寸、6英寸、8英寸晶圆生产线,专注于模拟电路、功率器件的制造,8英寸等值晶圆年产能66.4万片,上海先进是国内最早从事汽车电子芯片、IGBT芯片制造的企业。公司凭借超过三十年的芯片制造经验、先进的工艺设备及严格的质量管理体系,通过引进、消化、吸收、创新,在模拟电路、功率器件芯片代工领域具有领先地位。
华润上华(CSMC):无锡华润上华科技有限公司隶属于华润集团旗下负责半导体业务的高科技公司——华润微电子有限公司。华润上华及其附属公司于1997年在中国大陆开创开放式晶圆代工经营模式的先河,为客户提供集成电路制造服务。
华润上华拥有国内最大的六英寸代工线和一条八英寸代工线,总部和生产线设于无锡,在上海、香港和台湾均设有办事处。华润上华的六英寸生产线是国内首家开放式晶圆代工厂,以产能计为目前国内最大的六英寸代工企业,月产能21万片。八英寸生产线目前月产能已达6.5万片,制程技术将提升至0.13微米。
思恩技术(SIEN):深圳市思恩技术有限公司是一家集科研,设计,生产,销售为一体的高科技企业,是专业集成电路测试方案提供商。思恩技术拥有十多年IC测试行业经验背景,可根据客户需求定制开发各种不同功能应用的IC测试方案及整机设备,测试方案涵盖:数字类IC、模拟类IC、数模混合类IC、存储器类IC、分立器件类IC、MCU类IC等。
士兰微电子(Silan):杭州士兰微电子股份有限公司,是一家专业从事集成电路以及半导体微电子相关产品的设计、生产与销售的高新技术企业。士兰微电子目前的产品和研发投入主要集中在以下三个领域:以消费类数字音视频应用领域为目标的集成电路产品,包括以光盘伺服为基础的芯片和系统,现已成功地向市场推出了单芯片的CD播放机系统、DISCMAN系统、MP3/WMA数字音频解码等系统和产品、单芯片的VCD系统等,并即将推出单芯片的DVD系统和其他数字音视频产品。
粤芯半导体(CanSemi):广州粤芯半导体技术有限公司于2017年12月在广州开发区中新知识城设立,是国内第一座以虚拟IDM (Virtual IDM) 为营运策略的12英寸芯片厂,也是广州第一条12英寸芯片生产线。
粤芯半导体项目投资288亿元,新建厂房及配套设施共占地14万平方米。建成达产后,粤芯半导体将实现月产40,000片12英寸晶圆的生产能力,产品包括微处理器、电源管理芯片、模拟芯片、功率分立器件等,满足物联网、汽车电子、人工智能、5G等创新应用的模拟芯片需求。
华润微电子(CR Micro):华润微电子有限公司是华润集团旗下负责微电子业务投资、发展和经营管理的高科技企业。公司业务包括集成电路设计、掩模制造、晶圆制造、封装测试及分立器件,业务范围遍布无锡、深圳、上海、重庆、香港、台湾等地。目前拥有6-8英寸晶圆生产线5条、封装生产线2条、掩模生产线1条、设计公司3家,为国内拥有完整半导体产业链的企业,并在特色制造工艺技术居国内领导地位。
美国:
格罗方德半导体(GlobalFoundries):格罗方德半导体股份有限公司是一家总部位于美国加州硅谷桑尼维尔市的半导体晶圆代工厂商, 成立于2009年3月。格罗方德半导体股份有限公司由AMD拆分而来、与阿联酋阿布扎比先进技术投资公司(ATIC)和穆巴达拉发展公司(Mubadala)联合投资成立的半导体制造企业。
2017年,格罗方德半导体股份有限公司12英寸晶圆成都制造基地项目,在成都正式签约并举行开工仪式。该基地是全球首条22纳米FD-SOI先进工艺12英寸晶圆代工生产线
IBM:IBM1911年创立于美国,是全球最大的信息技术和业务解决方案公司。IBM在Vermont于1988年创建200mm生产线,产能60,000片,工艺能力 Analog,Logic,Memory及Mixed Signal。并在EastFishkil于2001年创建300mm的R&D线及2002年投资了超过25亿美元,兴建世界上最先进的300毫米晶园制造生产线,并开展代工服务。
中国台湾:
台积电(TSMC):台湾积体电路制造股份有限公司,简称台积电,属于半导体制造公司。成立于1987年,是全球第一家专业积体电路制造服务(晶圆代工foundry)企业,总部与主要工厂位于台湾新竹科学园区。
联华电子(UMC):联电成立于1980年,是台湾第一家半导体公司。联电是世界晶圆专工技术的领导者,持续推出先进制程技术并且拥有半导体业界为数最多的专利。联电的客户导向解决方案能让芯片设计公司利用本公司尖端制程技术的优势,包括通过生产验证的65纳米制程技术、45/40纳米制程技术、混合信号/RFCMOS技术,以及其它多样的特殊制程技术。联电在全球约有12,000名员工,在台湾、日本、新加坡、欧洲及美国均设有服务据点,以满足全球客户的需求。
威兆半导体(Vanguard):威兆半导体是专业从事等立器件系列的设计及半导体微电子相关产品研发的高科技企业。
威兆现已经成为少数同时具备低压,中压,高压全部系列大功率POWER MOSFET分立器件,以及特殊半导体制程设计能力的先进IC设计公司。产品广泛应用于计算机,消费类电子,LCD/LED显示器,通讯电源,工业电源,以及太阳能,风能,锂电等新能源产业。此外,威兆专注于大功率MOSFET器件研发设计。产品涉及新型IGBT、超结新型器件、高\中\低压场效应管、超低压降肖特基、快恢复二极管及器件模块化应用设计;采用最新工艺平台设计各类新工艺结构产品,致力于提高产品在系统中的能效转换。
后道封装和测试
中国大陆:
长电科技(JCET):长电科技是全球领先的集成电路系统集成和封装测试服务提供商,提供全方位的芯片集成一站式服务,包括集成电路的系统集成封装设计、技术开发、产品认证、晶圆中测、Wafer Bumping、芯片成品测试并向世界各地的半导体供应商发货。
通过先进的晶圆级WLP、2.5D / 3D和系统级SiP封装技术和可靠的Flip Chip和引线互联封装技术,长电科技的产品和研发技术涵盖了所有集成电路应用,包括移动、通信、计算、消费、汽车、工业等领域。JCET在中国、新加坡、韩国拥有三大研发中心及六大集成电路成品生产基地, 营销办事处分布于世界各地,可为国际和中国国内的客户提供紧密的技术合作和高效的产业链支持。
通富微电子(Tongfu):通富微电子股份有限公司成立于1997年10月,2007年8月在深圳证券交易所上市。
通富微电专业从事集成电路封装测试, 拥有Bumping、WLCSP、FC、BGA、SiP等先进封测技术,QFN、QFP、SO等传统封测技术以及汽车电子产品、MEMS等封测技术;以及圆片测试、系统测试等测试技术。公司在国内封测企业中率先实现12英寸28纳米手机处理器芯片后工序全制程大规模生产,包括Bumping、CP、FC、FT、SLT等。公司的产品和技术广泛应用于高端处理器芯片(CPU 、GPU)、存储器、信息终端、物联网、功率模块、汽车电子等面向智能化时代的云、管、端领域。全球前十大半导体制造商有一半以上是其客户。
天水华天(Tianshui Huatian): 天水华天科技股份有限公司成立于2003年12月25日,2007年11月20日在深圳证券交易所挂牌上市交易。
公司主要从事半导体集成电路封装测试业务。目前公司集成电路封装产品主要有DIP/SDIP、SOT、SOP、SSOP、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS等多个系列,产品主要应用于计算机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子等电子整机和智能化领域。公司集成电路年封装规模和销售收入均位列我国同行业上市公司第二位。
晶方半导体(CSP):2005年6月, 苏州晶方半导体科技股份有限公司成立于苏州,是一家致力于开发与创新新技术,为客户提供可靠的,小型化,高性能和高性价比的半导体封装量产服务商。
晶方科技的CMOS影像传感器晶圆级封装技术,彻底改变了封装的世界,使高性能,小型化的手机相机模块成为可能。这一价值已经使之成为有史以来应用最广泛的封装技术,现今已有近50%的影像传感器芯片可使用此技术,大量应用于智能电话,平板电脑,可穿戴电子等各类电子产品。
中芯长电(SJ Semi):中芯长电半导体(江阴)有限公司是中国大陆技术先进、规模大的集成电路芯片制造企业:中芯国际集成电路制造有限公司,与大陆规模大的后段封装测试企业:江苏长电科技股份有限公司合资组建的,致力于在中国发展世界领先的硅片级封装测试业务的外商独资企业,首期重点发展先进的12英寸凸块加工(bumping)及配套晶圆芯片测试(cp testing)业务。
深科技(Kaifa):深圳长城开发科技股份有限公司成立于1985年, 致力于提供计算机与存储、通讯与消费电子、半导体、医疗器械、汽车电子、商业与工业产品的制造服务和自动化设备、计量系统及物联网系统的研发生产服务。深圳彩田园区是深圳政府授予的特色存储产业园,是中国先进的通讯电子产品制造企业之一,为全球多家一线品牌提供技术制造服务,年产智能手机5000万台;是中国知名的智能电表及控制系统出口企业,累积出口高端智能电表到欧洲、南亚和东南亚等地4400万台;是中国知名的半导体存储模组制造企业,年产3000万片;是中国先进的DRAM/flash封装测试企业,月产能4500万颗。
佰维存储(Biwin):佰维专注为客户提供优质的存储产品,致力于成为行业一流的存储解决方案提供商。佰维专注存储领域24载,造就了佰维稳健的上游资源整合能力、业内领先的存储算法及固件开发能力、优异的硬件设计能力、强大的测试能力和以SiP为核心的先进封装制造能力这5大优势。可为客户提供eMMC、eMCP、UFS、LPDDR、ePOP、SPI NAND、uMCP、BGA SSD以及2.5”、U.2、M.2、DOM、AIC PCIe、特种SSD、移动SSD、内存模组等全系列存储产品,并针对客户多元化的存储需求,提供具备高可靠性、高性能、小尺寸、断电保护、加密支持、写入保护、宽温运行、安全删除等特点的产品。
美国:
艾克尔科技(Amkor):Amkor是全球最大的半导体封装和测试服务供货商,占全球半导体市场的30%份额,在全球多个国家设有工厂。
Amkor主要服务于集成器件制造商、半导体公司、合同代工厂、通讯、消费电子、网络、计算、汽车和工业市场,在半导体封装和测试技术方面居世界领先地位,除了承接半导体芯片测试业务外还销售芯片制造服务,顾客包括计划全部知名的半导体公司,如:拓朗半导体、安华高科技、Pte、飞思卡尔、英特尔、索尼半导体公司以及东芝公司等。
高新星科技(R&D Altanova):美国高新星科技股份有限公司成立于1969年,是美国领先的集成电路自动测试设备供应商,致力于为客户提供先进的半导体自动化设备和系统测试界面板解决方案。产品主要为半导体测试板卡及电子系统测试的界面板平台。
中国台湾:
日月光集团(ASE):日月光集团为全球第一大半导体制造服务公司之一,长期提供全球客户最佳的服务与最先进的技术。自1984年设立至今,专注于提供半导体客户完整之封装及测试服务,包括晶片前段测试及晶圆针测至后段之封装、材料及成品测试的一元化服务。客户也可以透过日月光集团中的子公司环隆电气,提供完善的电子制造服务整体解决方案。
京元电子(KYEC):京元电子股份有限公司成立于1987年5月,目前在全球半导体产业上下游设计、制造、封装、测试产业分工的型态中,已成为最大的专业测试公司。总公司座落在新竹市公道五路旁,生产基地则位於苗栗县竹南镇。京元电子公司的工厂占地约20,000坪,厂房楼地板面积约92,000坪,无尘室面积则达56,000馀坪。晶圆针测量每月产能40万片,IC成品测试量每月产能可达4亿颗。
矽品科技(SPIL):台湾矽品精密工业股份有限公司成立于1984年5月,主要营业项目为从事各项集成电路封装之制造、加工、买卖及测试等相关业务,是全球IC封装测试行业的知名企业。矽品本身为全球前四大专业封装测试代工服务业者,现属日月光投资控股公司成员,成为全球第一大专业封装测试代工服务业者。
力成科技(Powertech):Powertech Technology Inc.(PTI)成立于1997年,是全球领先的IC后端服务提供商。服务范围包括IC芯片探测,封装,最终测试以及预烧至最终产品,并直接交付给全球最终客户。PTI在全球拥有15,000多名员工,在新竹,台湾淳安,苏州,中国西安,新加坡和日本拥有世界一流的制造工厂。
南茂科技(ChipMOS):南茂科技主要业务为提供高密度、高层次之记忆体产品,逻辑产品与混合信号产品之封装、测试及相关之後段加工、配货服务。经由南茂提供的整体性机体电路封装、测试後,客户的产品即能顺利地应用在资讯、通讯、办公室自动化以及消费性电子等相关产业之商品上。
颀邦科技(Chipbond):颀邦科技为拥有覆晶封装技术与晶片尺寸封装此二类先进技术之专业封装厂商,其产品线的规划可完全满足未来封装的主流需求。营业项目为晶圆上金凸块及锡铅块之代工服务,乃先进封装如:Flip Chip BGA、TAB所必须之过程。其中金凸块及TAB组装为LCD模组所必要,2013年国内投入仟亿以上资金发展TFT-LCD(薄膜液晶显示器模组)相关周之零配件产业,也需求强劲。颀邦科技是国内唯一有能力完成LCD之驱动之IC全程封装测试之公司。2014年正处於快速成长的阶段,估计往后10年内,台湾仍是全世界LCD主要供应地区及使用地区,前景看好。国内拥有半导体制造、应用最完整之体系,从IC设计、晶圆制造、封装、测试、产品组装等,不论是自行贩卖或代工生产,在数量上都占世界举足地位。
Kingpak:成立于1997年11月,是台湾最大的集成电路封装供应商之一。利用TinyBGA核心封装技术,实现良好的散热、低能耗、小面积、高容量、高效率的内存封装应用。随后,引领业内同行率先采用了世界上第一项PIP包装专利技术,成为内存和存储卡包装市场不可或缺的参与者。
Kingpak于1999年进入小众CIS (CMOS图像传感器)微包装领域,主要从事消费品、可穿戴产品、安全监控及汽车相关的CIS包装以及视频测试服务。凭借多年来积累的强大研发能力、专业的研发和制造人才、ISO9001\ISO14001认证,以及与全球客户的合作,公司在自主微细包装技术方面有着雄厚的基础,成为全球汽车相关包装领域的领军企业。
韩国:
LB Semicon:LB Semicon成立于2000年2月,是韩国第一家在倒装晶片凸点领域开展业务并建立了所有必要生产设施的公司。LB Semicon从TFT LCD和OLED显示驱动器IC(DDI)的金凸点开始,通过不断开发其倒装芯片凸点,将其范围扩展到焊料凸点,Cu柱凸点甚至晶圆级芯片级封装(WLCSP)技术。





