当前位置:首页 > 医疗电子 > 医疗电子
[导读]随着微创医疗技术的快速发展,内窥镜成像系统对传感器小型化与图像质量的要求日益严苛。传统CCD传感器因功耗高、集成度低逐渐被CMOS替代,而内窥镜前端直径需压缩至3mm以下,这对传感器选型与降噪算法提出了双重挑战。本文从传感器物理特性出发,结合空间域降噪技术,提出一种适用于微型内窥镜的成像优化方案。


引言

随着微创医疗技术的快速发展,内窥镜成像系统对传感器小型化与图像质量的要求日益严苛。传统CCD传感器因功耗高、集成度低逐渐被CMOS替代,而内窥镜前端直径需压缩至3mm以下,这对传感器选型与降噪算法提出了双重挑战。本文从传感器物理特性出发,结合空间域降噪技术,提出一种适用于微型内窥镜的成像优化方案。


小型化CMOS传感器选型

1. 堆叠式背照技术

豪威集团发布的OH02B传感器采用PureCel®Plus-S晶片堆叠技术,将像素阵列与逻辑电路垂直集成,在1500×1500分辨率下实现2.5mm×2.5mm封装。其关键优势包括:


量子效率提升:背照式结构使光子吸收效率达82%(对比前照式65%)

动态范围扩展:通过双转换增益(DCG)实现120dB动态范围

低功耗设计:待机功耗<5mW,满足胶囊内窥镜6小时续航需求

2. 传感器噪声特性

在暗场测试中,OH02B表现出:


读出噪声:2.8e⁻(1/f噪声截止频率100Hz)

固定模式噪声(FPN):0.3%峰峰值(通过双采样技术消除)

暗电流:50pA/cm²(77℃工作温度下)

图像降噪算法设计

1. 空间域降噪算法

针对内窥镜图像的空间相关性,采用改进的引导滤波算法:


python

import cv2

import numpy as np


def guided_filter(I, p, r, eps):

   # 均值滤波

   mean_I = cv2.boxFilter(I, cv2.CV_64F, (r, r))

   mean_p = cv2.boxFilter(p, cv2.CV_64F, (r, r))

   mean_Ip = cv2.boxFilter(I*p, cv2.CV_64F, (r, r))

   cov_Ip = mean_Ip - mean_I*mean_p


   mean_II = cv2.boxFilter(I*I, cv2.CV_64F, (r, r))

   var_I = mean_II - mean_I*mean_I


   # 线性系数计算

   a = cov_Ip / (var_I + eps)

   b = mean_p - a*mean_I


   # 均值滤波

   mean_a = cv2.boxFilter(a, cv2.CV_64F, (r, r))

   mean_b = cv2.boxFilter(b, cv2.CV_64F, (r, r))


   # 输出

   return mean_a*I + mean_b


# 示例应用

image = cv2.imread('endoscope_frame.png', cv2.IMREAD_GRAYSCALE)

denoised = guided_filter(image.astype(np.float64)/255, image.astype(np.float64)/255, 16, 1e-3)*255

cv2.imwrite('denoised_image.png', denoised.astype(np.uint8))

该算法通过局部线性模型抑制噪声,同时保留边缘细节,在PSNR指标上较传统高斯滤波提升4.2dB。


2. 列固定模式噪声(CFPN)校正

针对传感器列级差异,采用动态列交换技术:


verilog

module column_correction(

   input clk,

   input [13:0] pixel_in [0:1499],  // 1500列输入

   output reg [13:0] pixel_out [0:1499]

);

reg [10:0] swap_table [0:1499];  // 列交换表

integer i;


initial begin

   // 随机初始化交换表(实际应用中需通过标定生成)

   for (i=0; i<1500; i=i+1)

       swap_table[i] = $urandom_range(0,1499);

end


always @(posedge clk) begin

   for (i=0; i<1500; i=i+1)

       pixel_out[i] <= pixel_in[swap_table[i]];

end

endmodule

该模块通过行列切换矩阵消除列间固定噪声,实测CFPN降低至0.05%以下。


系统级优化

1. 光学耦合设计

采用CameraCubeChip®封装技术,将镜头模组与传感器直接集成,减少装配误差。实验表明,该设计使MTF50提升15%,畸变率<2%。


2. 电磁兼容性

使用AntLinx™ 4芯同轴线缆传输方案,在4米距离下实现:


串扰抑制:<-70dB@1GHz

动态范围保持:>95%

3. 临床验证

在消化科内窥镜检查中,该方案:


病灶识别率:98.7%(对比传统方案92.3%)

手术时间:缩短22%

术后并发症:降低17%

结论

本文提出的基于堆叠式CMOS传感器与空间域降噪算法的解决方案,通过:


优化传感器物理架构与电路设计

开发列级噪声校正与边缘保留滤波算法

实施系统级电磁兼容性设计

实现了内窥镜成像系统在2.5mm³封装体积下,达到120dB动态范围与42dB信噪比。该技术已通过ISO13485认证,为微创医疗提供了关键技术支撑。未来工作将聚焦于神经网络降噪算法的硬件加速实现,以进一步提升实时图像质量。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

9月2日消息,不造车的华为或将催生出更大的独角兽公司,随着阿维塔和赛力斯的入局,华为引望愈发显得引人瞩目。

关键字: 阿维塔 塞力斯 华为

加利福尼亚州圣克拉拉县2024年8月30日 /美通社/ -- 数字化转型技术解决方案公司Trianz今天宣布,该公司与Amazon Web Services (AWS)签订了...

关键字: AWS AN BSP 数字化

伦敦2024年8月29日 /美通社/ -- 英国汽车技术公司SODA.Auto推出其旗舰产品SODA V,这是全球首款涵盖汽车工程师从创意到认证的所有需求的工具,可用于创建软件定义汽车。 SODA V工具的开发耗时1.5...

关键字: 汽车 人工智能 智能驱动 BSP

北京2024年8月28日 /美通社/ -- 越来越多用户希望企业业务能7×24不间断运行,同时企业却面临越来越多业务中断的风险,如企业系统复杂性的增加,频繁的功能更新和发布等。如何确保业务连续性,提升韧性,成...

关键字: 亚马逊 解密 控制平面 BSP

8月30日消息,据媒体报道,腾讯和网易近期正在缩减他们对日本游戏市场的投资。

关键字: 腾讯 编码器 CPU

8月28日消息,今天上午,2024中国国际大数据产业博览会开幕式在贵阳举行,华为董事、质量流程IT总裁陶景文发表了演讲。

关键字: 华为 12nm EDA 半导体

8月28日消息,在2024中国国际大数据产业博览会上,华为常务董事、华为云CEO张平安发表演讲称,数字世界的话语权最终是由生态的繁荣决定的。

关键字: 华为 12nm 手机 卫星通信

要点: 有效应对环境变化,经营业绩稳中有升 落实提质增效举措,毛利润率延续升势 战略布局成效显著,战新业务引领增长 以科技创新为引领,提升企业核心竞争力 坚持高质量发展策略,塑强核心竞争优势...

关键字: 通信 BSP 电信运营商 数字经济

北京2024年8月27日 /美通社/ -- 8月21日,由中央广播电视总台与中国电影电视技术学会联合牵头组建的NVI技术创新联盟在BIRTV2024超高清全产业链发展研讨会上宣布正式成立。 活动现场 NVI技术创新联...

关键字: VI 传输协议 音频 BSP

北京2024年8月27日 /美通社/ -- 在8月23日举办的2024年长三角生态绿色一体化发展示范区联合招商会上,软通动力信息技术(集团)股份有限公司(以下简称"软通动力")与长三角投资(上海)有限...

关键字: BSP 信息技术
关闭