当前位置:首页 > 智能硬件 > 智能硬件
[导读]介绍了USB 协议芯片FT245BM的工作原理,设计了FT245BM与FPGA的接口电路,给出了FPGA发送和接收数据帧状态机的Verilog语言的描述,并介绍了PC机软件的设计方法。

摘要 介绍了USB 协议芯片FT245BM的工作原理,设计了FT245BM与FPGA的接口电路,给出了FPGA发送和接收数据帧状态机的Verilog语言的描述,并介绍了PC机软件的设计方法。该电路被成功地应用到光纤陀螺多路测试系统中,简化了电路设计,提高了测试效率。该设计具有很强的通用性。
关键字 通用串行总线(USB)  FT245BM   FPGA   状态机

一、引言

USB总线因其传输速度快、占用资源少以及真正的即插即用等诸多优点,受到了广大开发者的青睐,已经成为很多计算机设备的一种基本配置。目前被广泛采用的USB设备开发方案主要有以下两种(1)利用USB设备端接口芯片加微控制器结构。如国内用的比较多的Philips公司的PDIUSBD12/ISP1581等。(2)采用USB单片机。采用这两种方案要求开发者彻底理解USB协议的细节,并编写出固件程序。固件的运行要占用微控制器的时间和空间资源,实际通信效率不会很高。也有人用FPGA实现固件的功能,但这种方案开发和调试的难度很大。本人在实际工作中用FPGA外部直接连接一片USB协议芯片FT245BM,实现了FPGA与PC机的USB通信,该方法不用微控制器,减少了元器件的个数,并且占用FPGA资源很少,FPGA仍然可以实现其他逻辑功能,系统设计的灵活性很大。

二、FT245BM简介

FT245BM由FTDI (Future Technology Devices Int. Ltd.)公司推出,该芯片的主要功能是进行USB和并行I/O口之间的协议转换。芯片一方面可从主机通过USB串行总线接收数据,并将其转换为并行I/O口的数据流格式发送给外设;另一方面外设可通过并行I/O口将数据转换为USB串行数据格式传回主机。中间的转换工作全部由芯片自动完成,开发者无须考虑固件的设计。该芯片提供了通用的并行I/O口方便与微控制器、FPGA或其他外设接口。在PC机端安装了FTDI公司提供的驱动程序,只需熟悉简单的VB、VC编程,就可很容易地进行上位机软件开发。

关于FT245BM的内部结构及详细地引脚介绍读者可以参考其他相关资料,在此仅对与本设计相关的内容作一个介绍。FT245BM内含两个FIFO数据缓冲区,一个是128字节的接收缓冲区,另一个是384字节的发送缓冲区。它们用作USB数据与并行I/O口数据的交换缓冲区。FIFO实现与外界(微控制器、FPGA或其它器件)的接口,主要通过8根数据线D0~D7、读写控制线RD#和WR#以及FIFO发送缓冲区空标志TXE#和FIFO接收缓冲区非空标志RXF#来完成数据交互。TXE#为低表示当前FIFO发送缓冲区为空,为高表示当前FIFO发送缓冲区满或者正在存储前一个字节,禁止向缓冲区中写数据。RXF#为低表示当前FIFO的接收缓冲区非空。RD#信号由低变高将从FIFO缓冲区中读取数据。当RD#变低时将数据送到数据总线。RXF#为高不能从FIFO读数据。读写时序见图1和图2。

 

图2 写数据时序

三、           FT245BMFPGA接口设计

3.1 硬件电路设计

    图3是FT245BM的USB与FPGA的接口电路,FPGA选用ALTERA EPF1K50TC-144,其中D0~D7是FT245BM与FPGA交换数据的数据总线,USB_RD#、USB_WR、USB_TXE#、USB_RXF#是相关的控制总线。

图3  FT245BM与FPGA的接口电路

3.2   FPGA收发状态机设计

   下面是用Verilog HDL 描述的FPGA收发状态机,为便于读者理解FPGA对FT245BM的读写过程,本文将接收和发送状态机分开给出。当然在实际应用中也可以将接收和发送操作合成一个状态机来实现。

3.2.1 接收状态机

    接收状态机主要功能是查询USB_RXF引脚的状态,当检测到USB_RXF变低,即可获知上位机已经将数据写入到FT245BM的缓冲区,然后产生读控制时序,将FT245BM接收缓冲区中的数据读入到FPGA的缓冲区。重复以上步骤直到将一帧数据读完,然后执行相应的帧处理操作。下面是用Verilog HDL描述的接收状态机。

@always (posedge clk )    /*clk为FPGA工作时钟。

if(rst && USB_RXF==0)

begin

       case(Rstate)

        Rstate0:

 begin

          USB_RD<=0;      /*产生读信号的下降沿

          Rstate<=Rstate1;

        end

        Rstate1:

begin

RframeBuf[Rpointer]<=USB_DATA; /*读FT245BM芯片FIFO的当前字节

Rstate<=Rstate2;

              end

        Rstate2:

          begin

            if(Rpointer== FrameLen-1) /*如果已经接收到完整的一帧,则转Rstate3,

              begin

              Rstate<=Rstate3;

                Rpointer<=0;

             end 

           else            /*一帧未接收完,转Rstate0继续接收

           begin

            Rstate<=Rstate0;

            Rpointer<=Rpointer+1;

           end

           USB_RD<=1;

          end

       Rstate3:        /*处理收到的帧

         begin

           Rstate<=Rstate0;

           /*在此添加处理帧的代码,本文略*/

         end

     end

else

  begin

       Rstate<=Rstate0;

       USB_RD<=1;

     End

3.2.2  发送状态机

发送状态机完成的主要功能是将外设产生的数据通过FT245BM和USB总线传送到PC机。外设将数据准备好后,将发送允许信号(SendEN)置为有效,当状态机检测到SendEN=1时,即启动发送操作。首先状态机从外设的缓冲区按字节将待发送的数据读到FPGA的缓冲区,并产生写FT245BM发送缓冲区的时序,将数据写到FT245BM发送缓冲区。直到将一帧数据发送完毕。下面是FPGA向FT245BM发送数据的状态机。

@always (posedge clk )    /*clk为FPGA工作时钟。

if(rst && USB_TXE==0&& SendEN==1)   /*rst为异步复位信号, SendEN为发送允许信号,由给Pc机发送数据的逻辑电路置位,数据发送完成由发送状态机将其清除。

  begin

    case(Sstate)

     Sstate0:

        begin

          USB_WR<=1;      /*产生写信号的上升沿

          Sstate<=Sstate1;

        end

     Sstate1:

begin

USB_DATA <=SframeBuf[Spointer];   /*写一个字节到FIFO,SframeBuf为发送缓冲区

Sstate<=Sstate2;

            end

     Sstate2:

        begin

          if(Spointer == FrameLen-1)    /*如果已经发送完一帧,则转Sstate3,

            begin

            Sstate<=Sstate3;

              Spointer <=0;

            end 

          else            /*未完,转Sstate0继续发送

           begin

             Sstate<=Sstate0;

             Spointer <= Spointer +1;

           end

         USB_WR<=0;         /*产生写usb FIFO信号的下降沿

        end

     Sstate3:       

       begin

         Sstate<=Sstate0;

         /*在此添加处理帧发送完毕的代码和清除SendEN 信号的代码,本文略*/

       end

  end

else

  begin

    Sstate<=Sstate0;

    USB_WR<=0;

  End

限于篇幅,以上状态机只给出了帧收发的基本操作,因为在不同的应用场合,帧处理的方法也不相同,所以本文没有给出帧处理代码。另外,在实际应用时需要注意以下内容:由于FPGA读写FT245BM共用一组数据总线,状态机不能同时对FT245BM进行读写操作,当所以当两个状态机分开编写时,为避免冲突需分出读写的优先级,此时只需对以上状态机稍加修改即可。比如当若令读的优先级高,则在写的状态机中将if(rst && USB_TXE==0&& SendEN==1)语句的条件改为if(rst && USB_TXE==0&& SendEN==1&& USB_RXF==1)即可。写优先级高的情况可以仿照此方法修改。

四、PC机软件设计

PC机软件的设计有两种方法:一种是在PC机上安装一个由FTDI公司免费提供的虚拟串行口VCP(Virtual COM Port)驱动程序,将USB口虚拟成一个串口,像一个标准的串口那样进行进行外设和PC机的通信。可本质上所有针对虚拟串口的数据通信都是通过USB总线完成的。另外一种方法是利用FTDI公司提供的D2XX驱动程序,通过调用驱动程序的动态链接库直接访问USB。由于人们对串口的操作比较熟悉,通常用前一种方法访问USB。比如,在PC端,应用VB对VCP(通常设置为COM3)进行编程。可以直接应用MSComm控件,将MSComm.CommPort置为3,MSComm.Setings置为“9600,n,8,1”(该速率为默认设置,实际上VCP驱动程序总是使数据以最快速率传输);通过MSComm.PortOpen设置COM3的开关状态;通过MSComm.Input和MSComm.Output读入或输出数据。在读取数据时,设置MSComm.Rthreshold的值为1。只要有数据传到PC机,就立即触发MSComm.CommEvent事件,自动读取COM3的数据;而发送数据则可自动或手动发送,由用户自己设置。以上操作与操纵标准的串行口完全一致。

五、结语

以上状态机在MaxPlusII10.2下调试并下载到FPGA运行通过,软件在Windows 2000,VB6.0环境下调试通过。该电路成功应用到光纤陀螺多路测试系统中,实现了同时对4路陀螺信号的同时测试,简化了电路设计,提高了测试效率。

参考文献:

[1] FT245BM data sheet. Future Technology Devices Int. Ltd.

[2] 夏宇闻. Verilog 数字系统教程[M]. 北京:北京航空航天大学出版社,2004

[3] 张俊安. 用VB实现windows 9x环境下的串口通信[J]. 山东煤炭科技, 2000年增刊:73~74

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

Bourns® TLVR1005T 和 TLVR1105T 系列采用双绕组结构和低感值设计,可提供快速瞬态响应,并可依据 CPU、FPGA 和 ASIC 负载要求进行延展

关键字: 数据驱动 电感器 FPGA

对于大规模数据处理,最佳性能不仅取决于原始计算能力,还取决于高存储器带宽。 因此,全新 AMD Alveo™ V80 计算加速卡专为具有大型数据集的内存受限型应用而设计,这些应用需要 FPGA 硬件灵活应变能力以实现工作...

关键字: 自适应计算 FPGA

8b10b编码作为数字通信领域中的一项重要线路编码方案,其核心理念在于将每8位数据映射到10位编码中。这个映射过程严格按照特定规则进行,旨在保证编码中的电平转换足够,以维持信号的直流平衡,并提供足够的时钟信息,使接收端能...

关键字: FPGA 8b/10b编码 IC设计

在FPGA和IC设计领域,经常会面临一个挑战:多个端口同时竞争一个端口的数据。在这种情况下,采用RR调度策略可能是一种解决方案。

关键字: FPGA 嵌入式系统 IC设计

2024 年5月13日 – 专注于推动行业创新的知名新品引入 (NPI) 代理商™贸泽电子 (Mouser Electronics) 是英特尔®产品的全球授权代理商。英特尔®宣布正式成立Altera™,作为其独立运营的全...

关键字: FPGA 人工智能 以太网

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC

关键字: RISC-V处理器 FPGA SoC

为无处不在的端侧设备插上AI的翅膀,AMD发布第二代Versal™ 自适应 SoC

关键字: AMD FPGA 自适应SoC AI 边缘计算

Pmod接口可以说是数字电路板的连接革命。随着科技的飞速发展,数字电路板间的通信与连接技术也在不断创新和进步。Pmod接口,作为一种新兴的数字接口标准,正逐渐成为数字电路板间通信的桥梁,为电子设备的连接和通信带来了革命性...

关键字: pmod接口 FPGA 数字电路板

近日举办的GTC大会把人工智能/机器学习(AI/ML)领域中的算力比拼又带到了一个新的高度,这不只是说明了通用图形处理器(GPGPU)时代的来临,而是包括GPU、FPGA和NPU等一众数据处理加速器时代的来临,就像GPU...

关键字: FPGA AI 图形处理器

在当今科技日新月异的数字时代,USB接口技术不断进化以满足日益增长的数据传输速度、充电效率和设备兼容性需求。其中,Type-C数据线作为一种创新的接口标准,自2014年正式推出以来,迅速席卷了消费电子市场,并成为各类智能...

关键字: type-c USB接口
关闭
关闭