当前位置:首页 > 单片机 > FPGA之旅
[导读]本篇是FPGA之旅设计的第十二例,在前面的例程中,完成了DS18B20温度传感器数据的采集,并且将采集到的数据显示在数码管上。由于本例将对温湿度传感器DHT11进行采集,而且两者的数据采集过程类似,所以可以参考一下前面的例程。本例将通过signal top实时采集波形,确定采集到的数据是正确了(数据中带了校验)。

一. 简介

本篇是FPGA之旅设计的第十二例,在前面的例程中,完成了DS18B20温度传感器数据的采集,并且将采集到的数据显示在数码管上。由于本例将对温湿度传感器DHT11进行采集,而且两者的数据采集过程类似,所以可以参考一下前面的例程。本例将通过signal top实时采集波形,确定采集到的数据是正确了(数据中带了校验)。


二. DHT11传感器简介

应用电路如下,和DS18B20一样,只需要一根数据线与FPGA进行通信。每次通信都是FPGA发起的,随后DHT11会输出40bit的数据给FPGA。温度测试范围为0-50℃,湿度测试范围为20-90%RH(相对湿度),简单了解一下即可。


三. 数据传输过程

数据传输一共包括三个部分

  • 开始采集 : FPGA拉低数据线,然后释放,等等DHT11响应

  • 数据采集 : 这部分一共需要传输40bit的数据,分别为(高到底) 8bit湿度整数位,8bit湿度小数位,8bit温度整数位,8bit温度小数位,8bit校验和。校验和 = 数据之和,通过这个可以判断接收到的数据是否正确。

  • 采集结束:从机拉低约50us后,主机拉低

官方图如下,下面详细说明一下各个部分。


1

开始采集

从图中可以看到,开始采集包括两个部分,主机和从机。主机先拉低总线18ms,然后拉高20-40us后释放总线,然后从机响应拉低总线80us后,拉高总线80us,就完成了。这里的拉低拉高时间都不是一个固定值,可以根据实际情况来决定。


2

数据传输

开始采集结束后,就是数据传输了,一根总线最关心的问题就是如何表示数据1和数据0了。


数据0表示如下

数据1表示如下


可以看到,无论是数据0还是数据1,总线拉低的时间都是一样的,所以在判断数据0和数据1,只需要根据高电平的持续时间即可。


3

采集结束

在从机拉低50us后,FPGA将总线拉高即可。


以上就是DHT11数据传输的全部内容,还是比较容易的哈。



四. 代码实现

(一). 状态机确定


通过上面的时序图,可以确定,采集过程可以大致分为六个阶段,其中请求部分可以分为FPGA请求和DHT11应答两个部分,具体如下。

localparam S_IDLE          = 'd0;  //空闲态localparam S_START_FPGA    =    'd1; //FPGA请求采集数据开始localparam S_START_DHT11   = 'd2;  //DHT11开始请求应答localparam S_DATA          =    'd3; //数据传输localparam S_STOP          = 'd4;  //数据结束localparam S_DOEN          =    'd5; //数据采集完成

(二). 计时周期数确定


在时序图中,提到了18ms,26-28us,20-40us,50us,70us,80us等等,但是经过分析后,并不需要定义这么多个计时周期数,只需要定义两个即可。为什么只定义这两个就可以了呢?


在时序图中,需要FPGA判断时间的,有两个位置,一个是FPGA拉低18ms以上,另外一个是判断数据表示是数据0还是数据1。第一个很清楚就是18ms。数据0表示的数据位26-28us,为了保险起见,这里设置为35us,如果高电平的持续时间低于35us,那么就表示数据0。

//时钟为50MHZ,20nslocalparam  TIME18ms = 'd1000_099;    //开始态的拉低18ms,900_000个时钟周期,这里适当的延长了拉低时间。localparam  TIME35us = 'd1_750; //数据传输过程中,数据0拉高的出现

在编写代码的时候,低电平是不需要处理的,只需要通过下降沿当前的传输状态即可。例如说在dht11响应的阶段,只需要判断是否产生了下降沿,至于其高电平和低电平各种持续了多长的时间,这个可以忽略。


(三). 状态转移编写


从状态转移条件可以看到,都是通过下降沿,和周期计数来作为条件进行转移的。

always@(*)begin case(state) S_IDLE: if(dht11_req == 1'b1) //数据采集请求过来进入开始态 next_state <= S_START_FPGA; else next_state <= S_IDLE; S_START_FPGA:  if((DHT11_Cnt >= TIME18ms) && dht11_negedge == 1'b1) //FPGA请求结束结束 next_state <= S_START_DHT11; else next_state <= S_START_FPGA; S_START_DHT11: if((DHT11_Cnt > TIME35us) && dht11_negedge == 1'b1) //延时一段时间后,通过判断dht11总线的下降沿,是否结束响应 next_state <= S_DATA; else next_state <= S_START_DHT11; S_DATA: if(DHT11Bit_Cnt == 'd39 && dht11_negedge == 1'b1) //接收到40bit数据后,进入停止态 next_state <= S_STOP; else next_state <= S_DATA; S_STOP: if(DHT11_Cnt == TIME35us + TIME35us) //数据传输完成后,等待总线拉低50us,这里是70us next_state <= S_DOEN; else next_state <= S_STOP; S_DOEN: next_state <= S_IDLE;  default: next_state <= S_IDLE; endcaseend


(四). 采集数据存储


根据手册的指示,先发送高位,后发送低位,按照条件来进行存储即可。

/*接收数据存储*/always@(posedge sys_clk or negedge rst_n)begin if(rst_n == 1'b0) dht11_data <= 'd0; else if(state == S_DATA) if((DHT11_Cnt <= TIME35us + 'd3000) && dht11_negedge == 1'b1) //'d3000为低电平时间(这个是有必要的),高电平持续时间低于35us认为是数据0 dht11_data <= {dht11_data[38:0],1'b0}; else if(dht11_negedge == 1'b1) dht11_data <= {dht11_data[38:0],1'b1}; else dht11_data <= dht11_data; else dht11_data <= dht11_data;end

最后通过signal tap获取到的数据如下。

计数校验和,2C + 02 + 1C + 06 = 50,可以知道数据采集正确。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC

关键字: RISC-V处理器 FPGA SoC

为无处不在的端侧设备插上AI的翅膀,AMD发布第二代Versal™ 自适应 SoC

关键字: AMD FPGA 自适应SoC AI 边缘计算

Pmod接口可以说是数字电路板的连接革命。随着科技的飞速发展,数字电路板间的通信与连接技术也在不断创新和进步。Pmod接口,作为一种新兴的数字接口标准,正逐渐成为数字电路板间通信的桥梁,为电子设备的连接和通信带来了革命性...

关键字: pmod接口 FPGA 数字电路板

近日举办的GTC大会把人工智能/机器学习(AI/ML)领域中的算力比拼又带到了一个新的高度,这不只是说明了通用图形处理器(GPGPU)时代的来临,而是包括GPU、FPGA和NPU等一众数据处理加速器时代的来临,就像GPU...

关键字: FPGA AI 图形处理器

当我们提到成本优化型FPGA,往往与简化逻辑资源、有限I/O和较低制造工艺联系在一起。诚然,在成本受限的系统设计中,对于价格、功耗和尺寸的要求更为敏感;但随着一系列创新应用的发展、随着边缘AI的深化,成本优化型FPGA也...

关键字: AMD FPGA Spartan 边缘计算

全球领先的高性能现场可编程门阵列(FPGA)和嵌入式FPGA(eFPGA)半导体知识产权(IP)提供商Achronix Semiconductor公司宣布,该公司参加了由私募股权和风险投资公司Baird Capital举...

关键字: FPGA 智能汽车 eFPGA

全新 FPGA 能为嵌入式视觉、医疗、工业互联、机器人与视频应用提供高数量 I/O、功率效率以及卓越的安全功能

关键字: FPGA 嵌入式视觉 机器人

Altera致力于为客户提供端到端的FPGA、易于使用的AI、软件和弹性供应链。

关键字: FPGA AI

在半导体领域,大部分对于AI的关注都集中在GPU或专用AI加速器芯片(如NPU和TPU)上。但事实证明,有相当多的组件可以直接影响甚至运行AI工作负载。FPGA就是其中之一。

关键字: FPGA AI 半导体

半导体产品老化是一个自然现象,在电子应用中,基于环境、自然等因素,半导体在经过一段时间连续工作之后,其功能会逐渐丧失,这被称为功能失效。半导体功能失效主要包括:腐蚀、载流子注入、电迁移等。其中,电迁移引发的失效机理最为突...

关键字: 半导体 电迁移 FPGA
关闭