当前位置:首页 > 公众号精选 > FPGA之旅
[导读]这是FPGA之旅的第十一例了。在前面的例子中,已经对OLED有了一个基础的了解,本例将介绍如何在OLED上实现支符的显示,为后面的例程做准备。

一. 简介

这是FPGA之旅的第十一例了。在前面的例子中,已经对OLED有了一个基础的了解,本例将介绍如何在OLED上实现支符的显示,为后面的例程做准备。


显示字符需要先使用字摸软件取模,将得到的字摸数据存储到寄存器当中,这个过程可以利用Python生成。然后设置好显示的XY坐标,最后发送字符数据即可。对于字符占两个page的话,也就是字符高度大于8的情况,中间还需要重新设置page。


二. 字摸软件简介

字摸软件选用PCtoLCD2002,这个比较常用,也是比较方便,界面如下图所示。




点击选项,在弹出的界面中,对生成的字符数据进行设置,为了方便python进行解析,特意设置生成格式如

下。



设置好后,×掉,设置好字宽和字高后,输入需要生成的字符数据,然后点击生成字摸就可以在文本框中看到生成的数据,然后点击保存字摸,就可以将字摸数据保存到TXT文件中了。字摸软件的介绍就到这里了。



三. Python程序编写

想着,大家对python可能不太了解,程序就不贴出来,可以作为一个工具来用,对每个字符生成如下的always块,字体大小为16*16,方便FPGA读取。

always@(posedge clk or negedge rst)begin if(rst == 1'b0) begin data1[0] = 8'h00; data1[1] = 8'h40; data1[2] = 8'h64; data1[3] = 8'h1C; data1[4] = 8'h8A; data1[5] = 8'h6C; data1[6] = 8'h1C; data1[7] = 8'h04; data1[8] = 8'hFC; data1[9] = 8'h8A; data1[10] = 8'h5C; data1[11] = 8'h64; data1[12] = 8'h54; data1[13] = 8'h54; data1[14] = 8'h10; data1[15] = 8'h00; endend

四. 字符显示模块

这里演示的是8*16大小的字符(中途没有换行操作,便于理解显示的过程),也就是显示一个字符,需要向OLED发送16次IIC请求。

(一) 模块信号列表

现在这个模块和初始化模块一样,信号列表都是一样的。当字符请求来了,就把显示的字符数据发送出去。

module OLED_ShowFont(  input      sys_clk, input      rst_n,  input      ShowFont_req, //字符显示请求 input      write_done, //iic一组数据写完成  output[23:0]  ShowFont_Data, //字符显示数据  output      ShowFont_finish //字符显示完成);

(二). 具体代码实现

1. 显示一个8*16大小的字符,需要先指定对应的XY坐标,也就是page数和Column地址,发送三个命令即可。

2. 随后发送16byte的字符数据,就完成了一个字符的显示。

always@(*)begin case(showfont_index) 'd0   showfont_data_reg <= {8'h78,8'h00,8'hB0 + 8'h03}; //'d3代表显示的起始页地址为4 'd1:  showfont_data_reg <= {8'h78,8'h00,8'h00 + 8'h02}; //'d2代表显示的起始column的低四位为2 'd2:  showfont_data_reg <= {8'h78,8'h00,8'h10 + 8'h02}; //'d2代表显示的起始column的高四位为2 //即显示的column的起始地址为8'h22,即'd34 default:  showfont_data_reg <= {8'h78,8'h40,fontdata};//显示的数据  endcaseendOLED_FontData OLED_FontData_HP( .sys_clk      (sys_clk), .rst_n          (rst_n), .index          (showfont_index - 'd3), .data        (fontdata));

3. 发送完3byte+16byte的数据后,即显示结束,也就是当showfont_index == 18的时候,并且在第19个数据刚刚写完的时候。


(三). OLED_TOP模块改动

这部分的修改也很少,只需要添加一个状态,在OLED初始化完成后,进入字符显示状态,最后进入空闲态即可,其他的添加和初始化部分一样。

always@(*)begin case(state) OLED_INIT: if(init_finish == 1'b1) next_state <= OLED_ShowFont; else next_state <= OLED_INIT; OLED_ShowFont: if(showfont_finish == 1'b1) next_state <= OLED_IDLE; else next_state <= OLED_ShowFont; OLED_IDLE: next_state <= OLED_IDLE;  default: next_state <= OLED_INIT; endcaseend

这里就进行了一个简单的字符显示例程,当然在实际使用的时候,会复杂很多,例如有多个不同大小的字符进行显示,以及动态显示字符等等情况,但核心的写法可以参考本例。

显示效果如下



本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

以下内容中,小编将对OLED的相关内容进行着重介绍和阐述,希望本文能帮您增进对OLED的了解,和小编一起来看看吧。

关键字: OLED LED

当我们提到成本优化型FPGA,往往与简化逻辑资源、有限I/O和较低制造工艺联系在一起。诚然,在成本受限的系统设计中,对于价格、功耗和尺寸的要求更为敏感;但随着一系列创新应用的发展、随着边缘AI的深化,成本优化型FPGA也...

关键字: AMD FPGA Spartan 边缘计算

全球领先的高性能现场可编程门阵列(FPGA)和嵌入式FPGA(eFPGA)半导体知识产权(IP)提供商Achronix Semiconductor公司宣布,该公司参加了由私募股权和风险投资公司Baird Capital举...

关键字: FPGA 智能汽车 eFPGA

全新 FPGA 能为嵌入式视觉、医疗、工业互联、机器人与视频应用提供高数量 I/O、功率效率以及卓越的安全功能

关键字: FPGA 嵌入式视觉 机器人

Altera致力于为客户提供端到端的FPGA、易于使用的AI、软件和弹性供应链。

关键字: FPGA AI

在半导体领域,大部分对于AI的关注都集中在GPU或专用AI加速器芯片(如NPU和TPU)上。但事实证明,有相当多的组件可以直接影响甚至运行AI工作负载。FPGA就是其中之一。

关键字: FPGA AI 半导体

半导体产品老化是一个自然现象,在电子应用中,基于环境、自然等因素,半导体在经过一段时间连续工作之后,其功能会逐渐丧失,这被称为功能失效。半导体功能失效主要包括:腐蚀、载流子注入、电迁移等。其中,电迁移引发的失效机理最为突...

关键字: 半导体 电迁移 FPGA

OLED器件能以独特的方式实现折叠、卷曲和塑形,为汽车设计师提供了广阔的应用可能。得益于其高度适形性以及令人惊艳的视觉效果,OLED显示屏拥有着广泛的应用前景。

关键字: OLED 汽车电子

这款较低成本的开发平台可帮助学生、初学者和经验丰富的设计人员采用新兴技术

关键字: RISC-V FPGA 嵌入式

进一步扩展旗下IEEE®-1588主时钟产品组合,可实现小于1纳秒的精确时间精度

关键字: 主时钟 数字合成器 FPGA
关闭