当前位置:首页 > 嵌入式 > 嵌入式软件
[导读]NI Single-Board RIO通用逆变器控制器特性

概述

使用NISingle-BoardRIO通用逆变器控制器(general-purposeinvertercontroller-GPIC)来帮助您更快地将设计中的电网功率转换器发展至大规模的商业生产与产品部署。在行业认可的LabVIEW图形化系统设计环境软件的支持下,NI的Single-BoardRIOGPIC可以方便地完成逆变器应用的设计、开发和部署。LabVIEW是直观的图形化编程环境,它使用拖放式的图形对象操作,提供各种灵活,高级的应用编程接口,可以帮助您快速地开发强大的具有专业用户接口的应用程序。

LabVIEW和NISingle-BoardRIOGPIC让您可以方便地使用现场可编程逻辑门阵列(FPGA)技术,这样您就可以定义自己的控制电路,而且与传统的用户定义硬件方法相比可以减小系统的复杂度并节约开发成本。

主要特性介绍

"为先进的现场可重配置数字能源转换系统的快速商业化提供了一个革命性的嵌入式系统设计方法

"传统的嵌入式设计途径平均需要一个11.5人的开发团队花费12.5个月的开发时间,使用新的开发途径可以以一个4.8人的开发团队,在6.2个月的时间完成您的开发项目,由此平均可以节省114人/月的开发工作量和$950,000美元的开发成本。

"传统的寄存器级别的Verilog/VHDL编程途径需要花费百分之七十的开发成本在I/O接口的设计上,与之相反,高级的图形化系统设计工具可以让您的开发团队将百分之九十的软件开发成本集中到控制算法开发和验证测试上。

"提供了经过验证的,可直接部署的嵌入式系统以及全面的图形化系统设计工具链来帮助您快速地完成基于FPGA的高级电力电子控制系统的商业部署,而不需要您具有任何关于寄存器级别的编程语言(如Verilog和VHDL)的预备知识。

"针对绝大多数常见的智能电网电力电子应用系统精心选取标准的I/O接口套件和可编程FPGA,可以满足特定的控制、I/O接口、性能和成本的需求,包括用于可变交流输电系统,可再生能源发电,能量储存和变速驱动器应用的DC-AC,AD-DC,DC-DC和ACAC转换器。

"具有硬件并行的赛灵思Spartan-6?FPGA芯片,含有58个DSP内核,与传统的双核DSP相比,其每美元性能高出40倍,每芯片性能高出24倍,每瓦特性能高出10倍。

"嵌入了400MHz的PowerPC处理器,安装有VxWorks实时操作系统,支持智能电网网络协议DNP3,IEC60870-5和IEC61850,板上COMTRADE(IEEE37.111)数据记录和标准的三相IEC,EN和IEEE标准电能质量分析。

软件特性

图形化的联合仿真(Multisim,LabVIEWFPGA模块)

现在,您可以在一个具有完整功能的电力电子联合仿真环境中设计LabVIEWFPGA控制代码。这表示您可以在NISingle-BoardRIOGPIC上快速地开发并验证高级的高效电力电子应用和控制IP并对其进行部署,而不需要掌握VHSIC硬件描述语言如VHDL或Verilog。

Multisim是一款集成了电路仿真(SPICE)环境的仿真程序,可以帮助完成电子电路的设计,原型化和测试。您可以快速并直观地从大量预设的SPICE模型中选取电力电子元件(如电机、Buck-Boost电能转换器,电磁干扰滤波器,PWM控制器和更多相关元件)来创建电力电子电路,预设模型来自各大半导体生产厂家,例如AnalogDevices,NXP,ONSemiconductor和TexasInstruments;选取它们并放置在编辑界面上,再正确连线即可。

图1.Multisim软件环境

Multisim可以与LabVIEW完全集成,这样您就可以通过Multisim和LabVIEW之间的集成完成逐点模拟,然后将仿真结果与从连接到FPGA板卡上的实际硬件上采到到的实时数据进行对比,同步地测试您的控制算法。

图2.LabVIEWMultisim联合仿真

通过LabVIEW和Multisim联合仿真,你可以在一个高保真的仿真环境中获取数字控制系统与模拟电力电子电路之间的交互,帮助您完成LabVIEWFPGA代码的开发。在LabVIEWFPGA和Multisim联合仿真的过程中,两个独立的仿真程序将同时进行非线性的时域仿真,然后在每一个时间步长结束的时候交换数据并共同决定未来的仿真步长,这样就可以带给您高度集成的精确的仿真结果。您可以得到模拟电路的高速瞬态响应行为和它与基于FPGA的控制系统之间的交互响应。例如,如果一个电感器中的电流正在振荡,仿真程序会自动地缩小步长,放慢仿真节奏来获取数字控制电路的效果。

这样,您就可以在仿真的环境中开发实际的LabVIEWFPGA代码并将其直接移植到物理的FPGA目标上,不需要花费额外的操作。LabVIEW开发环境支持完全的双向开发途径。在任何开发阶段对图形化代码所作的修改-从原型化到后期制作-系统都将自动地对应用中所有引用的代码进行更新。

算法开发工具

使用LabVIEW控制设计和仿真模块来加快系统开发并测试您的控制算法。这个模块提供了各种工具来帮助您使用传递函数,状态空间或零极点增益表达式来构建被控对象和控制模型;使用例如阶跃响应、零极点图、波特图等工具来分析系统性能以及仿真系统行为。

图3.LabVIEW控制设计和仿真模块

使用LabVIEW工具链,您可以开发各种控制算法-从简单的比例积分微分(PID)控制到高级的动态控制例如模型预测控制。您可以使用控制设计与仿真面板从基本原理开始来创建您的对象模型,或者从Multisim中导入模型。如果您想加速原型化进程,也可以将FPGA节点导入您的模型,这样可以更精确地对硬件I/O进行表示。

下面的范例展示了一个直流有刷电机驱动器控制器,该控制器使用LabVIEW控制设计与仿真模块设计,并且使用了LabVIEW和Multisim进行联合仿真。[!--empirenews.page--]

图4.直流有刷电机控制器的闭环控制系统仿真

1.速度正交解码器

2.连续时间可编程断路(PI)控制器

3.PWM波型发生器

4.H-桥驱动器

5.Multisim直流有刷电机对象

该控制器由一个正交解码器、一个PI控制器、一个PWM波型发生器和一个H-桥驱动器(图4中的第1项至第4项)构成。对于这个设计,默认的板载40MHzFPGA时钟确保了高分辨率,20KHz,小占空比和小死区时间的PWM数字脉冲的生成。仿真框图中的FPGA节点配置为以离散时间执行,就像该代码是在硬件FPGA芯片上执行一样,以此来仿真代码精确的时域行为。LabVIEWFPGA具有代码可并行执行和执行延迟低的优势,非常适合进行逆变器控制算法开发。可以在控制器设计阶段就对FPGA的行为进行仿真可以在较早的开发阶段就确保系统具有精确的性能。

一代算法设计完成,借助针对快速控制原型设计与硬件在环(HIL)应用的LabVIEW实时模块,您可以不需要生成代码就方便地将动态系统部署到实时硬件目标上。

开发函数库和IP核

使用LabVIEW电力电子套件和电力电子IP核库,借助内置的针对各种应用的VI(LabVIEW代码),您可以减少开发时间和项目成本。使用该套件,您可以创建一个集电力电子参数测量,分析,监视与记录为一体的应用程序。您可以使用电力电子VI来测量电压,电流和频率;测量功率和能量值;分析电压和电流事件;综合并记录数据。

图5.LabVIEW电力电子套件函数面板。

LabVIEW电力电子套件符合以下标准

"EN50160:2007,公共电力配送网络的电力电压特性

"IEC61000-4-7:2002,电磁兼容性(EMC),第4-7款:测试和测量技术-谐波和间谐波的测量和仪器仪表的通用指南,用于供电系统及与其相连的其它设备

"IEC61000-4-15:2010,电磁兼容性(EMC),第4-15款:测试和测量技术-闪变计-功能和设计规范

"IEC61000-4-30:2008,电磁兼容性(EMC),第4-30款:测试和测量技术-电能质量测量方法

"IEEEStdC37.111:1999,IEEEStandardCommonFormatforTransientDataExchange(COMTRADE)forPowerSystems用于电力系统的通用IEEE标准瞬态数据交换格式(COMTRADE)

用于电力电子和运动控制(部分属于LabVIEWNISoftMotion模块)的IP核可以帮助您使用适用于各种功能的现成可用的IP核,包括用于三相永磁体同步和直流无刷电机/发电机以及逆变器的梯形和空间矢量换相器;Clarke和Park变换;三相锁相环;矩阵向量乘法和多通道的PID算法。

图6.IP核库

智能电网

借助LabVIEW兼容分布式网络协议(DistributedNetworkProtocol-DNP3)和IEC60870-5开放式通讯协议的优势,您开发的功率转换器可以直接用于智能电网。针对DNP3和IEC60870-5的NI-IndCom软件驱动可以让您使用LabVIEW函数来创建DNP3和IEC60870-5分支站点应用程序。您可以在Windows电脑上使用这些函数来进行编程开发,然后将其部署到NISingle-BoardRIOGPIC目标上来完成现场应用。

图7.DNP3和IEC60870-5LabVIEWVI

该软件驱动支持以太网和串行通讯、文件传输、主站点和分支站点之间的时间同步。您也可以为每一个分支站点分配多个通讯通道以及为每个通道分配多个线程(逻辑设备)。

该软件驱动支持以太网和串行通讯、文件传输、主站点和分支站点之间的时间同步。您也可以为每一个分支站点分配多个通讯通道以及为每个通道分配多个线程(逻辑设备)。

图8.DNP3模拟输入范例程序

1.创建分支站点函数将LabVIEW目标转换为一个DNP3分支站点,并且设置检查输入和发送响应的扫描频率。

2.创建通道函数可以创建一个通讯通道,并且将DNP3协议的物理层,链路层和传送功能封装在这个通道中。同时它可以设置允许连接到该分支站点的主机的端口和IP地址。在一个分支站点上可以同时创建多个通讯通道。

3.创建线程函数可以在通讯通道中创建一个本地设备(服务器)与远程设备(客户端)之间的连接。在同一个通讯通道中可以创建多个线程。

4.写入函数可以将八种数据类型中的一种(包括模拟输入)写入到某个数据点索引中。它还可以同时生成一个相应的事件和对象标志。

5.清除线程函数可以清除创建线程函数所创建的线程。

6.清除通道函数可以清除创建通道函数所创建的通讯通道。

7.清除分支站点函数可以清除创建分支站点函数所创建的分支站点引用。

用于DNP3的NI-IndCom可以让主机站点从使用LabVIEW编程的分支站点上上传和下载文件。针对分支站点的时间同步,可以使用时钟时间属性节点来设置时钟同步的频率或者手动请求一次重同步。

NISingle-BoardRIOGPICI/O

使用NI9683商业现成可用,经过验证的GPIC开发板,您可以加快您电力电子控制应用的上市时间。NISingle-BoardRIOGPIC提供了完整的硬件I/O集合,可以适用于各种应用,包括从逆变器控制和与智能功率模块交互到智能电网监测。NI9683的硬件I/O包括以下类型:

"高速可同时采集的模拟输入通道可以采集一次侧和二次侧的电压/电流[!--empirenews.page--]

"低速的模拟输入和输出通道可以进行系统级的控制和监测

"高速数字输出通道可以完成绝缘栅双极晶体管和金属氧化物-半导体场效应晶体管的开关

"通用数字输入和输出通道可以进行系统级的控制和监测

"接触器数字输出可以直接连接到24V直流接触器

图9.NISingle-BoardRIOGPICI/O

所有的输入与输出接口都通过RIO夹层卡(RMC)接口连接到NIsbRIO-9606控制板上。高速,高带宽的RMC接口提供了对FPGA数字I/O线的直接访问,同时还提供了几项处理器特定的功能。FPGAI/O通过一系列终端电阻连接到RMC接口上,并且FPGA的驱动能力和板载信号终端阻抗已经经过合理的调整来支持各种应用。

图10.NISingle-BoardRIOGPICI/O与FPGA之间的连接

所有的输入与输出接口都通过RIO夹层卡(RMC)接口连接到NIsbRIO-9606控制板上。高速,高带宽的RMC接口提供了对FPGA数字I/O线的直接访问,同时还提供了几项处理器特定的功能。FPGAI/O通过一系列终端电阻连接到RMC接口上,并且FPGA的驱动能力和板载信号终端阻抗已经经过合理的调整来支持各种应用。

图11.范例计数器

图11展示了一个对DIO0上的上升沿之间的周期进行计数的简单VI。注意,该单周期定时循环将使用一个80MHz的分频时钟来定时,并且已经将DIO0定义为该时钟频率。单周期定时循环是LabVIEWFPGA环境中众多图形化对象之一,这些图形化对象可以简化FPGA上复杂的数字逻辑的实现。

NISingle-BoardRIO特性

NIsbRIO-9606嵌入式控制和采集设备在一个印刷电路板(PCB)上集成了一个实时处理器,一个用户可重配置的FPGA和各种I/O接口。它具有运行VxWorks实时操作系统的400MHz的PowerPC处理器,一个XilinxSpartan-6LX45FPGA和一个RMC接口。高速、高带宽的RMC接口提供了对96个3.3VFPGA数字线的直接访问,同时还提供了某些处理器特定的功能。可以对FPGAI/O和处理器功能进行直接的调用就意味着您可以在自己的电力电子应用中实现底层时序的自定义以及I/O信号的处理。

图12.NIsbRIO-9606设备

您可以在LabVIEWFPGA环境中对所有的FPGAI/O进行直接的访问。LabVIEW包含了内置的数据传输机制来帮助您将数据从硬件I/O传送到FPGA,再通过高速的PCI总线从FPGA传送到嵌入式处理器中以对数据进行实时的分析,后期处理,数据记录以及与联网的主机电脑进行通讯。

您可以使用内置的10/100Mbit/s以太网端口通过网络来向主机自带的Web(HTTP)和文件(FTP)协议服务器发起可编程的通讯。sbRIO-9606同时还提供了集成的控制器局域网(CAN),RS232串口和USB端口来帮助您控制其它外围设备。

您可以使用内置的10/100Mbit/s以太网端口通过网络来向主机自带的Web(HTTP)和文件(FTP)协议服务器发起可编程的通讯。sbRIO-9606同时还提供了集成的控制器局域网(CAN),RS232串口和USB端口来帮助您控制其它外围设备。

FPGA的优势

利用可自定义的Spartan-6FPGA芯片的各种优势,您可以以更少的开发成本来更快地开发电力电子控制应用。使用LabVIEW开发工具链,结合Spartan-6FPGA和一套完整的用于电力电子的硬件I/O,相比传统的数字信号处理器(DSP)来说在很多方面都提供了更好的性能。

1.可重置性

从最顶层来看,FPGA是可编程的硅芯片板,包含了可重配置的逻辑门电路矩阵。与DSP不同,FPGA不用受到特定的一组指令集或是硬件处理单元的限制。使用预置的逻辑块和可编程的路由资源,您可以针对您自己特定的电力电子控制应用对这些板卡进行配置。过去,在FPGA上实现一个DSP应用(例如PWM逆变器控制算法)通常会比在DSP处理器上实现相同的应用花费更多的精力。这需要开发者对硬件描述语言和FPGA板卡编程相当熟悉。同时,还需要设计一套自定义的I/O接口板来与FPGA进行交互。

NI的Single-BoardRIOGPIC提供了商业现成可用的开发板,您可以使用LabVIEWFPGA图形化开发环境对其编程,访问所有您的电力电子应用需要用到的I/O,从而解决了上面提到的各种问题。在LabVIEWFPGA图形化开发环境下,即使您不具备底层硬件描述语言(例如VHDL或者Verilog)或板卡级的硬件设计相关的知识,也可以准确地定义FPGA板卡需要实现的逻辑。

图13.使用LabVIEWFPGA来设计FPGA板卡

使用LabVIEWFPGA,您可以利用高级的图形化环境来抽象复杂的HDL编程并生成FPGA的实现代码。

图14.LabVIEW模拟硬件I/O实现:对比FPGA与VHDL

使用LabVIEWFPGA,您可以将电力电子IP库中现成的VHDL代码,第三方的IP和IP核集成到您自己的LabVIEWFPGA应用程序中,这样您就可以将更多的开发精力放在应用指标的设计和代码片段的组织上,而通常的任务如PWM、PID控制和Clarke及Park变换则可以用预置的函数来完成。[!--empirenews.page--]

由于智能电网相关的应用涉及长期的技术支持、维护以及适应不断发展的标准和通讯协议的需求,所以FPGA可以导入代码并支持重配置的特性有很大的优势。FPGA可重配置的特点也表示您可以使用它实现更高的性能,减少开发时间并实现代码的重用。

2.性能

由于FPGA具有高度并行的架构,所以它们超过了DSP的运算能力。实际上,现代的FPGA都具有专用的DSP元,非常适合传统的DSP应用。Spartan-6LX45FPGA具有58个专用的、可完全自定义的低功耗DSP元,结合了高速、小体积的特点,同时又保证了系统设计的灵活性。

图15.Spartan-6FPGA与通用DSP的对比

当您为FPGA设备编译电力电子控制应用程序(自定义,高频数字PWM波形)时,得到的将是高度优化的硅芯片实现,它提供了真正并行的处理机制,其专用的硬件电路具有性能和可靠性两方面的优势。由于FPGA芯片上没有运行操作系统,代码的实现方法保证了最佳的性能和最高的可靠性。

除了提供高的运行可靠性,FPGA设备还可以以极快的循环频率实现高确定性的闭环控制。对于绝大多数基于FPGA的控制应用来说,处理速度的瓶颈都在于传感器,执行器和I/O模块,而不在于FPGA的性能。例如,包括在LabVIEWFPGA模块中的PID控制算法只需要300ns(0.000000300s)就可以完成一次运算。

基于FPGA的控制系统提供了超过1MHz的高确定性,闭环控制性能。实际上,许多算法只需要一个FPGA的时钟周期(40MHz)就可以完成执行。

3.节省开发时间和成本

与单核的DSP处理器相比,FPGA数据处理高并行度的特点大大提高了系统的平均美元性能。同时,相比DSP处理器而言,FPGA还提供了更高的平均瓦特性能。通常来说,FPGA具有更高的板卡级的功率消耗(DSP的典型功耗为3W至4W,而DSP的功耗一般为7W至10W);但是,由于FPGA可以处理的通道数据密度是DSP的40倍,这就意味着FPGA有着更高的平均瓦特性能。

图16.近年来,将微型DSP硬件核集成到FPGA元中的技术极大地提高了FPGA的性能,图为与单核的DSP相比,每秒可以完成乘法累加运算次数的柱状图

就平均发展速率来说,FPGA的平均美元性能每14个月翻一番。使用商业现成可用的NISingle-BoardRIOGPIC控制器开发板,您可以充分利用FPGA性能和可靠性的优势,比全自定义的硬件设计付出更少的工作量,并且可以避免重复的开发工作。

使用NISingle-BoardRIOGPIC,您可以充分利用LabVIEW开发工具链和Spartan-6FPGA的灵活性以及在快速原型开发方面的优势,从而大大减少您电力电子控制应用程序的开发时间。当您在LabVIEWFPGA和Multisim联合仿真环境下完成了对控制器的测试之后,就可以将相同的代码部署到FPGA上并用实际的物理I/O上对其进行测试。接下来您就可以实现一些改进方案并且充分利用FPGA可重配置的特点来逐步完善您的设计并给出最终设计方案。

Spartan-6产品系统概览

实时仿真工具

使用NISingle-BoardRIOGPIC,您可以实现HIL仿真来减少现场测试以及原型开发的成本。您可以将系统的模型(状态空间模型或者JMAG有限元分析(FEA)软件模型)部署到一系列商业现成可用的实时目标上,例如NICompactRIO和NIPXI。这些硬件目标都带有一个运行实时操作系统的嵌入式的处理器来以及一个用户可自定义的FPGA,这样您的代码执行就会拥有硬实时特性。使用低抖动的几百纳秒的仿真周期,您可以精确地仿真物理系统的I/O行为并且验证控制器的运行效果。

使用HIL测试,您可以并行地开发系统中的软件与硬件组件,从而减少整体的开发时间。例如,针对电动马达系统的开发,您可以同时开发电动马达硬件以及它的引擎控制单元,这样当您的马达设计完成的时候,嵌入式软件中主要的问题也已经得到了解决。

图17.使用NIcRIO-9082作为HIL仿真器

常见的电动马达的控制信号工作于20kHz到25kHz的频率范围。为了精确地仿真电动马达,其模型的时间步长必须至少小于控制信号周期的十分之一。这就意味着电动马达模型仿真器的运行周期频率至少要达到200kHz,这个频率已经大大高于了传统HIL系统的运行频率。图18展示了高仿真周期频率的的重要性。

图18.不同周期频率下的电动马达HIL仿真结果

FPGA的特点使它极其适用于高速、实时的应用。所有基于FPGA的处理都可以独立地与系统CPU同时执行。此特点可以帮助您实现极低的延时以及高速的信号处理,从而精确地仿真物理I/O行为。

使用FPGA技术,您可以调整并提高控制器的设计效率,这样就可以导入待测单元(UUT)的非线性FEA模型来进行高逼真度的HIL仿真。该功能由NIVeriStand软件的JMAG-RT插件提供。

图19.电动马达HIL仿真

使用JMAG仿真软件和JMAG-RT插件,您可以生成一个.RTT文件来创建实时运行的FPGA特性。JMAG-RT插件会生成一个查找表,这个查找表包含了您的待测单元(在这里,就是一个马达)的相关参数。这些参数可以用来对您的设备进行全面的检定并准确地捕捉其非线性行为。[!--empirenews.page--]

图20.实现在FPGA上的FEA模型

一旦查找表生成以后,模型转换函数会读取.RTT文件和查找表,并将数据发送到NIRIOFPGA模块上,在这里,数据将会被转换为定点数据类型并且装载到板载的DRAM中。一旦查找表装载入DRAM,系统就会根据当前的模型状态不断地从查找表中提取对应的数据来生成与该待测单元相关的非线性行为。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

【2024年4月10日,德国慕尼黑讯】英飞凌科技股份公司(FSE代码:IFX / OTCQX代码:IFNNY)推出了业界首款-48 V宽输入电压数字热插拔控制器XDP700-002,扩展了其XDP™数字功率保护控制器系列...

关键字: 控制器 晶体管 5G

【2024年4月10日,德国慕尼黑和美国加利福尼亚州旧金山讯】全球功率系统和物联网领域的半导体领导者英飞凌科技股份公司(FSE代码:IFX / OTCQX代码:IFNNY)宣布其OPTIGA™ Trust M安全控制器现...

关键字: 物联网 控制器 供应链

作为全球最大数据产生国之一,随着数据规模的成倍增长,中国对更高性能数据中心的需求日益迫切。根据IDC Global DataSphere对每年数据产生量的预测,全球数据量的复合年增长率(CAGR)将达到 21.2%,并在...

关键字: 数据中心 CXL技术 控制器

北京,2024年4月8日,运动与控制领域的先行者——派克汉尼汾携APK系列控制器、CRV系列工业电磁阀、RRV系列快开电磁阀和SEHI系列电子膨胀阀组等新品亮相2024中国制冷展,并展示公司在商超冷库、冷水机组、环测设备...

关键字: 控制器 工业电磁阀 数据中心

为增进大家对视频控制器的认识,本文将对视频控制器模块设计、视频控制器的特殊技术予以介绍。

关键字: 控制器 指数 视频控制器

PLC(可编程逻辑控制器)和单片机是两种不同的控制设备,它们之间存在明显的区别:

关键字: 单片机 plc 控制器

TrustFLEX 器件搭配可信平台设计套件,将简化从概念到生产的信任根启用过程,适用于广泛的应用领域

关键字: 控制器 闪存器件 SoC

为增进大家对压差控制器的认识,本文将对压差控制器、压差控制器原理、压差控制器的调试予以介绍。

关键字: 控制器 指数 压差控制器

无线双位充电设计通过单个控制器同时支持扩展功率协议(EPP)和磁功率协议(MPP)

关键字: 控制器 充电器 发射器

一直以来,单片机都是大家的关注焦点之一。因此针对大家的兴趣点所在,小编将为大家带来单片机的相关介绍,详细内容请看下文。

关键字: 单片机 控制器
关闭
关闭