当前位置:首页 > EDA > 电子设计自动化
[导读]本系统采用Verilog HDL语言。利用一种快速的中值滤波改进算法对电路进行设计,并以Altera公司生产的Stratix II EP2S60F67214型FPGA芯片为硬件平台。

1 引言

    众所周知,在复杂背景条件下,要对弱小目标进行准确有效地红外跟踪、探测是一个难题。这种情况下,由于目标与背景的对比度较小、信噪比较低,若直接进行跟踪、探测往往比较困难,所以必须先对图像信号进行滤波预处理,以达到抑制背景噪声。增加目标强度,从而提高图像信噪比的目的,为后续工作打下良好的基础。

    实时图像处理器中,信号预处理包括对图像的各种滤波、直方图统计及均衡、图像增强、灰度变换等,它们共同的特点是处理数据量大,如果用一般的软件来实现势必会比较慢。而对于一些实时性要求比较高的系统,处理速度往往是要考虑的关键因素,一旦速度跟不上,实时性也无从谈起。针对图像预处理阶段运算结构比较简单的特点,用FPGA进行硬件实现无疑是理想的选择,这样同时兼顾了速度和灵活性,大大减轻了DSP的负担。

    本系统采用Verilog HDL语言。利用一种快速的中值滤波改进算法对电路进行设计,并以Altera公司生产的Stratix II EP2S60F67214型FPGA芯片为硬件平台。该器件继承了Altera公司Stratix II系列的共同优点,由于引入了崭新的自适应逻辑模块(ALM),使得Stratix II有更高的性能和逻辑封装、更少的逻辑和布线级数以及更强的DSP支持,而Stratix II EP2S60F67214更是比Xilinx公司的类似器件Virtex-4XC4VLX60多出18%的器件逻辑,其中包括51 182个寄存器位,2 544 129个存储器位以及48 352个ALUT,该器件资源丰富,只需占用很小一部分实现中值滤波器,为后续设计的增长留有更多空间。

2 中值滤波的基本原理及改进算法

2.1中值滤波的基本原理

    中值滤波是由Tukey发明的一种非线性信号处理技术,早期用于一维信号处理,后来很快被用到二维数字图像平滑中,是一种有效抑制图像噪声,提高图像信噪比的非线性滤波技术。它是一种邻域运算,类似于卷积,但计算的不是加权求和,而是把邻域中的像素按灰度级进行排序,然后选择该组的中间值作为输出像素值。与均值滤波器以及其他线性滤波器相比,中值滤波器的突出特点是在很好地滤除脉冲噪声(Impulsive Noise)和椒盐噪声(Salt and Pepper Noise)的同时,还能够保护目标图像边缘轮廓的细凇S霉?奖硎疚??br>
g(x,y)=median{f(x-i,y-i)},(i,j)∈S (1)

    式中g(x,y),f(x,y)为像素灰度值,S为模板窗口。

    而中值滤波的具体实现过程一般为:

    (1)选择一个(2n+1)×(2n+1)的滑动窗口(通常为3*3或者5*5),使其沿图像数据的行或者列方向逐像素滑动(通常为从左至右,从上到下逐行移动)。

    (2)每次滑动后,对窗口内的像素灰度值进行排序,用排序所得的中间值代替窗口中心位置像素的灰度值。

2.2中指滤波的改进算法

    中值滤波的算法很多,但通常数据排序量较大。需要消耗大量时间,不利于图像处理的实时性。本文采用一种窗口大小为3*3的快速排序算法。大大降低了排序量。

    为了便于说明。将3*3窗口内的各个像素分别定义为M11,M12,M13,M21,M22,M23,M31,M32,M33。像素排列如表1。

    首先分别对窗口中的每一行计算最大值、中值、最小值,这样一共可以得到9个数值,分别包括3个最大值、3个中值、3个最小值:

    第一行的最大值:Max1=max[M11,M12,M13];

    第一行的中值:Med1=med[M11,M12,M13];

    第一行的最小值:Min1=min[M11,M12,M13];

    依此类推:

Max2=max[M21,M22,M23];Med2=med[M21,M22,M23];Min2=min[M21,M22,M23];

Max3=max[M31,M32,M33];Med3=med[M31,M32,M33];Min3=min[M31,M32,M33];

    式中,max表示取最大值,med表示取中值,min表示取最小值。

    不难判断,9个数值中。3个最大值中的最大值和3个最小值中的最小值一定是9个像素中的最大值和最小值;3个中值中的最大值至少大于5个像素:即本行中的最小值、其他2行的中值及最小值:而3个中值中的最小值至少小于5个像素:即本行中的最大值、其他2行的中值及最小值。最后,比较3个最大值中的最小值Min_of_Max,3个中值中的中值Med_of_Med,3个最小值中的最大值Max_of_Min.得到的中间值即为滤波的最后结果Med_of_nine。具体过程表示如下:

Min_of_Max=min[Max1,Max2,Max3];

Med_of_Med=med[Med1,Med2,Med3];

Max_of_Min=max[Min1,Min2,Min3];

    则最后滤波结果:

Med_of_nine=med[Min_of_Max,Med_of_Med,Max_of_Min];

    利用这种排序法的中值滤波运算仅需17次比较,与传统算法相比。比较次数减少了近2倍,且该算法十分适用于在FPGA上做并行处理,大大提高了滤波的速度。

3中值滤波器硬件电路设计

    关键要完成2个模块的设计,分别是:

3.1 3*3窗口模块

    用硬件实现二维中值滤波,很重要的一点是能可靠地存储实时图像数据,并且使延时最短。为了满足实时性的要求,对图像进行全帧预处理,但这种全帧预处理并不是先将整帧图像数据完全保存在存储器中后再对全帧图像数据进行处理,而是存储n-1行图像数据后便开始处理,其中n为窗口大小。在本设计中,选用3*3窗口的中值滤波器,即n=3。这样设计的好处是,FPGA可以以串行流水方式实现该模块,节省了许多时间,为实时处理创造了有利条件。图1给出3*3窗口中值滤波硬件框图。

    图1中,D代表延时器,FIFO代表先进先出存储器。该先进先出存储器模块如图2所示,wrreq和rdreq分别为写、读使能,aclr为异步清零。图像数据以像素时钟节拍从数据输入端依次输入,FIFO用来存储一行数据,从而使M11,M12,…,M33正好对应3*3窗口的9个图像数据(见表1)。当数据流不断从数据输入端输入时,3*3模板对应的图像数据不断地跟着变化,这样就可以对一帧图像的所有像素都进行3*3模板处理。
3.2 3*3窗口内9个数值的排序模块

    由前面2.2节对中值滤波改进算法的说明不难看出,整个排序实际就是要进行7次3值比较:

    1) 3*3窗口每一行的3个数进行比较.分别得出每行的最大值、中值、最小值,一共有3行,需3次3值比较;

    2)3个最大值组、中值组、最小值组进行3值比较,3个组需3次3值比较;

    3)最大值组中的最小值、中值组中的中值、最小值组中的最大值3个数再进行一次比较。需1次3值比较。

    为了尽量节约资源,充分利用硬件设计中的"模块复用"原则,只需先设计一个简单的3值比较器模块,7次调用该模块即可以轻松完成中值滤波排序。

    另外,在图像的各个边缘。由于无法用3*3窗口覆盖(窗口的一部分要覆盖到图像的外部)。所以无法直接调用该中值滤波排序模块。参考了大量基于领域的图像硬件处理系统的做法。本文将图像边缘像素简单地设成"0"。并不会影响整个中值滤波的效果。这样只需再加一个判断窗口位置的模块,如果判断结果是边缘.则不调用排序模块而把"0"直接赋到输出端;反之。则调用该排序模块。

4 基于FPGA的处理结果

    整个电路的设计使用Verilog HDL语言编写,以Altera公司的Stratix II EP2S60器件为硬件平台,在Quartus II 5.1的软件开发环境下实现320*256*16 bit灰度图像的中值滤波。该图像1场时间是20ms(其中场消隐时间约为6.35 ms),像素时钟是6 MHz,算法占用资源如表2所示。

    由表2可见,该中值滤波设计的芯片资源占用率是非常小的,因此绝大部分资源可以用于后续的开发设计中。处理一场数据所需时间仅约为4.2 ms,完全满足整个系统对速度的高要求。而同样的3×3模板中值滤波若在ADI的TS201型DSP上处理,所需时间约为15.3 ms。显而易见,基于Stratix II EP2S60来实现红外图像的中值滤波比基于TS201来实现有更好的实时性优势,而且该设计使用了快速中值滤波算法,所以比传统算法节约了更多时间,资源占用率也非常小。输入、输出图像分别如图3、图4所示,由于本滤波模块把边缘置为0,所以图的边缘是一条黑线。对比前后图像可以看出中值滤波对椒盐噪声有很好的滤波作用。

5 结束语

    本设计方案采用了一种改进的快速中值滤波算法,成功地在Altera公司的高性能Stratix II EP2S60上实现整个数字红外图像滤波,在保证实时性的同时,使得硬件体积大为缩减,大大降低了成本,具有很强的实用价值。如果再结合其他滤波预处理方法,则可以进一步提高其滤除噪声的能力,更好地改善图像质量。本设计方案只能运用于矩阵型3*3模板,对于其他类型的模板(如5*5模板、十字线型模板),需要重新进行系统设计。另外。在FPGA设计中,一定要严格控制时序,保证时钟有足够的建立时间和保持时间,并保证时序的严格同步,电路的延时应该尽可能小。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

助力科研与检测新突破 上海2024年5月15日 /美通社/ -- 全球知名的科学仪器和服务提供商珀金埃尔默公司今日在上海举办了主题为"创新不止,探索无界"的新品发布会,集中展示了其在分析仪器领域的最...

关键字: 质谱仪 BSP DSC 气相色谱

上海2024年5月16日 /美通社/ -- 2024年5月10日至5月13日,富士胶片(中国)投资有限公司携旗下影像产品创新力作亮相北京P&E 2024。在数码相机展览区域,全新制定的集团使命"为世界绽...

关键字: 富士 数码相机 影像 BSP

贝克曼库尔特目前已成为MeMed Key免疫分析平台和MeMed BV检测技术的授权经销商 在原有合作的基础上,继续开发适用于贝克曼库尔特免疫分析仪的MeMed BV检测 加州布瑞亚和以色列海法2024年5月16日...

关键字: BSP IO 检测技术 免疫分析仪

英国英泰力能的燃料电池是可产业化的产品解决方案 英国首个专为乘用车市场开发的燃料电池系统 在 157kW 功率下,此燃料电池比乘用车的其他发动机更为强大 &...

关键字: ENERGY INTELLIGENT 氢燃料电池 BSP

深爱人才,共赴"芯"程 深圳2024年5月15日 /美通社/ -- 5月11日,深圳国资国企"博士人才荟"半导体与集成电路产业专场活动在深圳市重投天科半导体有限公司(简...

关键字: 半导体 集成电路产业 BSP 人工智能

武汉2024年5月15日 /美通社/ -- 北京时间4月26日-5月4日,2024 VEX 机器人世界锦标赛于美国得克萨斯州达拉斯市举办。本届 VEX 世锦赛为期九天,设有 VIQRC 小学组/初中组、V5RC 初中组/...

关键字: 机器人 BSP RC POWERED

上海2024年5月15日 /美通社/ -- 由生成式人工智能(AI)驱动的临床阶段生物医药科技公司英矽智能宣布,与复星医药(600196.SH;02196.HK)合作开发的潜在"全球首创"候选药物IS...

关键字: ISM BSP PC 人工智能

上海2024年5月13日 /美通社/ -- 5月8日,浦东新区国资委组织陆家嘴集团等9家区属企业与立邦中国召开合作交流会,旨在贯彻落实浦东新区区委、区政府工作要求,进一步放大进博会溢出带动效应,持续扩大区属企业与进博会重...

关键字: BSP 数字化 自动化立体仓库 智慧园区

上海2024年5月13日 /美通社/ -- 在数字化时代,高效的税务管理和ERP系统成为企业发展的关键。为了满足这一需求商应信息科技与Exact Software 易科软件就金四全电票税系统与ERP系统集成及商务合作建立...

关键字: AC 软件 BSP 数字化

北京2024年5月13日 /美通社/ -- 5月11日,鲲鹏昇腾开发者大会2024期间,华为举办"昇思AI框架及大模型技术论坛",软通动力数字基础设施与集成事业部总经理谢睿受邀出席、软通动力...

关键字: AI 模型 BSP 精度
关闭
关闭