当前位置:首页 > EDA > 电子设计自动化
[导读]0 引 言 当今社会,芯片技术与人们的生活密切相关,在各种电子产品中都有芯片的身影,而且,它们往往是电子产品关键的核心技术。制造芯片的流程非常复杂而且资源投入巨大,保证芯片的设计质量非常重要。验证工


0 引 言
    当今社会,芯片技术与人们的生活密切相关,在各种电子产品中都有芯片的身影,而且,它们往往是电子产品关键的核心技术。制造芯片的流程非常复杂而且资源投入巨大,保证芯片的设计质量非常重要。验证工作是芯片制造过程中及其关键的一个环节,无缺陷的芯片不是设计出来的,而是验证出来的,验证过程是否准确与完备,在一定程度上决定了一个芯片的命运。
    目前在百万门级以上的ASIC,IP,SoC设计时代,验证约消耗整个设计工作的70%,需要专职的验证团队,而且验证团队人数通常是设计团队的1.5~2倍。随着设计规模的扩大,芯片验证的重要性达到了一个新的高度,但对验证方法也提出了更多的要求,怎样搭建高效稳定的验证模型成为目前研究的热点。本文重点介绍如何搭建一种分层次的验证模型,以及如何使用这套验证模型进行仿真


1 验证架构
    验证架构通常称为testbench,如图1所示,可以把验证架构分为激励源、发送器、接收器、参考模型和记分牌五个部分。激励源属于脚本层,发送器和接收器属于时序层,参考模型和记分牌属于软件层。

    激励源 仿真的数据在激励源中生成,它是一个TCL脚本语言解释器,激励代码采用TCL解释性脚本语言编写,激励生成之后会放到发送端共享缓存区中,这个过程在设计代码开始仿真之前。
    发送器 当仿真开始后发送器会根据设计条件把数据从发送端共享缓存区中取出来,传递到设计模块和参考模型中,发送器由Verilog代码编写实现,需要设计自己的PLI函数用来作为与发送端共享缓存区传递数据的接口。
    接收器 接收器用来采集设计模块处理后的数据,并把数据放到接收端共享缓存区中,用于记分牌读取数据,接收器由Verilog代码编写实现,需要设计自己的PLI函数用来作为和接收端共享缓存区传递数据的接口。
    参考模型分析激励源的数据并产生和设计模块用来校验结果,由于验证人员和设计人员的理解思路不同,参考模型的功能和设计模块的功能也不同,但随着验证过程的推进,两者应该收敛于需求规格书,参考模型基于C++语言实现。记分牌记分牌从接收端共享缓存区取出数据和参考模型的分析结果并进行比较,把每次比较的结果记录下来,以便验证人员定位问题,记分牌基于C++语言实现。


2 脚本层关键技术
    编写激励源数据属于验证过程的脚本层,为了高效地生成数据,使用TCL脚本语言实现。TCL与C/C++等编译性语言的最大区别是当TCL语言编写好之后不用通过软件编译就可以直接运行,运行一行就是“解释”一行,“解释”的过程通过TCL解释器完成。TCL拥有一个固有的核心命令集,同时还具有和C/C++语言类似的控制结构,如if控制、循环控制和switch控制等,并支持过程的定义和调用,对数组和字符串等简单数据结构也提供了支持,然而TCL标准库提供的命令可能不会满足需要.这时要针对某一特定应用领域对TCL语言的核心命令集合进行扩展,加入适合于自己使用的扩展命令。
    扩展TCL命令主要采用编写二进制程序包的方法,二进制程序包本身并不嵌入TCL解释器,而是一些用C/C++编写的扩展命令的集合。任何标准的TCL解释器都可以用加载的方法使用二进制程序包中的扩展命令,Modelsim仿真工具集成了TCL解释器。扩展一个TCL命令大致可以分为两步:编写扩展命令对应的C/C++过程和注册命令。TCL扩展命令的函数原型为:int Tcl_CmdProc(ClientData,Tcl_In-terp *interp,int argc,char *argv[]),参数clientData由TCL调用者传人,其值在注册扩展函数时设置;参数interp也由TCL调用者传入,是指向解释器结构的指针;参数argc和argv与标准C语言的命令行参数argc,argv的含义类似,其中argc是被调用参数的个数,argv[0]是被调用的命令本身,argv[argc]是NULL,而argv[1]到argv[argc-1]才是真正的参数。编写好的扩展命令还需要注册,如果注册成功就可以在TCL解释器中使用了,注册过程在初始化函数中进行,TCL注册函数原型为Tcl_CreateCommand(Tcl_Interp*interp,char *cmdName,Tcl_CmdProc *proc,Client-Data clientData,Tcl_CmdDeleteProc *deleteProc),cmd-Name是解释器中使用的命令名,proc为编写的扩展函数名。需要注意的是TCL初始化函数的名称必须是首字母大写的DLL文件主文件名+一个下划线+首字母大写的Init组成。


3 时序层关键技术
    编写发送器和接收器属于验证过程的时序层,时序层也包括被例化的设计模块。脚本层生成的激励数据是用TCL语言编写的,而发送器和接收器是使用硬件描述语言Verilog编写,为了实现两种语言的数据传递,需要使用PLI接口编程语言完成两者的结合,从而达到将激励数据正确传送到设计模块和参考模型以及收集设计模块输出数据的目的,完成仿真这一过程。PLI函数对应发送器和接收器中调用的任务,它使用C++语言扩展,在Verilog代码中使用,主要包括四个C++函数:calltf,cheektf,sizetf,misctf函数,一般情况下只需要编写其中的三个函数checktf,misctf和calltf函数。编写完这三个函数之后,要注册PLI函数对应的Verilog代码中使用的系统任务或函数,提供每个系统任务和函数的名字以及相应的回调函数,使用结构体s_tfeell在C++代码中来注册PLI函数。
    calltf函数在仿真运行时被调用,即仿真执行到发送器或接收器中定义好的PLI任务时,就会调用该任务对应的calltf函数;checktf函数在仿真开始运行前被仿真器调用,换句话说,在仿真时刻0,这个函数可以由仿真器的编译器调用,checktf函数的主要目的是校验一个系统/任务是否被正确使用和加载环境设置命令;misctf函数在仿真运行时的各种混杂事件情况下被调用,根据PLI函数调用变量的属性选择相应的处理策略。
    PLI函数要实现的具体功能在calltf函数中编写,在这个函数中可以通过使用tf_getp(n)和tf_putp(n,val-ue)函数读取时序层的数据和对时序层的数据赋值,其中n表示变量的位置,value表示变量要赋的值。如果时序层的数据为数组类型,使用tf_nodeinfo()函数读取和修改Verilog内存数组和变量数组中的内容。当调用tf_nodeinfo()函数来访问Verilog数组中的值时,函数将返回一个指向仿真数据结构中数组实际存储空间的指针。一旦PLI应用获得了该指针,数组中的值在仿真过程中既可以被读取也可以被修改。要访问数组,并不需要每次都调用tf_nodeinfo()函数,只需要保存好初次调用tf_nodeinfo()获得的数组指针,tf_nodeinfo()需要在系统函数/任务调用时在参数中指定一个选定的单元。


4 软件层关键技术
    编写参考模型和记分牌属于验证过程的软件层,采用C++语言编程实现。把这两个验证组件设计成两个函数,分别为Pan_rm(char *s_indata,vector<char*>vr_outdata)和Pan_check(char*s_indata,vector<char*>vc_outdata),函数入口参数为字符指针类型的原因是TCL脚本语言处理的全部是字符类型的变量,脚本层生成的激励数据为字符类型。
    当仿真执行到Verilog代码中PLI任务的时候,PLI函数中的calltf()会调用Pan_rm函数或Pan_check函数。在发送器中,calltf()把发送端共享缓存区中的数据取出并传给。Pan_rm函数,Pan_rm函数把入口的字符类型变量转换成整型变量,然后根据需求规格做相应的处理,最后把处理结果放入共享队列;在接收器中,calltf()收集设计模块的处理结果并传给Pan_check函数,Pan_check函数取出共享队列里面对应的Pan_rm函数的处理结果并与Pan_check函数的入口数据进行比较,把比较的结果存人到接收端共享缓存区,最后打印结果到一个输出文件中以便验证人员定位问题。


5 共享缓存区
    为了实现脚本层,时序层和软件层能够使用共享缓存区,要把C++扩展的TCL命令、编写的PLI函数命令以及软件层代码写在同一个C++工程文件里,定义全局变量作为共享缓存区实现三个层次的互联,最后通过VC编译代码以动态库的形式加载到仿真器里面去。


6 结 语
    TCL脚本命令在仿真之前运行,一经执行所有的激励数据就会生成,在PLI函数的checktf()例程里面获取modelsim的TCL解释器,并用TCL初始化函数将其初始化,这样扩展的TCL命令就可以在Modelsim的解释器里使用了。

    需要注意的是,如果不在PLI函数的checktf()例程里面获取并初始化Modelsim的TCL解释器,那么PLI函数是加载在Modelsim内核的,如果要修改PLI函数里面调用的全局变量,要在扩展的TCL命令之前加上vsim_kernel,这样才可以修改全局变量。当验证组件准备好、验证环境搭建完毕后,编写自动运行脚本执行仿真过程,按照如图2所示的操作流程自动进行验证工作:运行自动脚本,启动仿真器,加载动态库,Modelsim的TCL解释器运行TCL脚本,开始仿真推进仿真时间,触发发送器的PLI函数发送数据,软件层分析数据得到结果,设计模块分析数据得到结果,触发接收器的PLI函数收集数据,软件层比较数据,打印分析结果。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

电力系统呈现出电力电子化趋势,在电磁暂态仿真计算中,针对不同的仿真对象以及不同的仿真精度要求,使用的数值计算方法不尽相同 。

关键字: 电力电子 电路 仿真

如果不能在高速下提供正确的电压,则 SiC 器件必然会发生故障,从而导致发热和效率低下。使用的 MOSFET 是UnitedSiC UF3C065080T3S模型,包含在 TO-220 封装中以及测试方案。

关键字: LTspice 仿真 SiC MOSFET

Feb. 21, 2024 ---- 美国零售商沃尔玛(Walmart)于美国时间2月20宣布,以23亿美元的价格收购智慧电视品牌Vizio,以加速其广告业务Walmart Connect的成长。TrendForce集邦...

关键字: 智慧电视 TCL

● 颠覆性的专用软硬件加速平台;利用GPU和CPU计算以及专有软件算法,提高准确度、速度和规模的同时,带来高达100倍的设计效率提升; ● 与传统HPC相比,支持GPU-resident模式的求解器可将仿真能效显著提高2...

关键字: AI 仿真

● 热、应力和电子散热设计同步分析,让设计人员可以无缝利用ECAD和MCAD对机电系统进行多物理场仿真; ● 融合FEM和CFD引擎,应对各种热完整性挑战——从芯片到封装,从电路板到完整的电子系统; ● Celsius...

关键字: AI 仿真

一向秉承“敢为不凡”精神的TCL实业希望通过《TCL新年智慧生活提案》新年创意视频三部曲鼓励年轻人敢为家人更新年味,拿下新年“主理人”身份,通过自带潮流科技属性的TCL智能终端产品解决跨越代际相处的各种痛点和bug,让一...

关键字: TCL

具有高采样率的泰克任意波形发生器(AWG)是功能多样且强大的仪器,可以提高脉冲激光实验的质量和效率,为以前所未有的精度和灵活性创建和操作光脉冲提供了多种可能性。

关键字: 仿真 测试测量

● 四态硬件仿真应用可加速需要X态传播的仿真任务; ● 实数建模应用可加速混合信号设计软件仿真; ● 动态功耗分析应用可将复杂SoC的功耗分析任务加快5倍。

关键字: 仿真 SoC

Jan. 3, 2024 ---- 2023年全球电视需求持续受到通胀影响,在消费者可支配所得有限的情况下,高价商品即便降价促销也难刺激买气,导致以中高阶机种为销售主力的国际品牌出货呈现衰退。据TrendForce集邦咨...

关键字: 电视 供应链 TCL

目前,中国市场HiL技术主要应用于汽车、航空航天、国防、能源、电力电子等产业,2016年-2028年市场规模复合增长率达18.5%,预计2028年中国HiL模拟行业市场规模达到273亿元,其中尤以汽车行业HiL应用市场规...

关键字: 仿真 汽车电子
关闭
关闭