当前位置:首页 > 工业控制 > 电子设计自动化

本文介绍了LatticeECP3 FPGA系列主要特性,LatticeECP3-35简化方框图以及LatticeECP3视频协议板主要特性,方框图和详细的电路图。

Lattice 公司的LatticeECP3 FPGA系列能提供高性能的特性如增强的DSP架构,高速SERDES和高速源同步接口。LatticeECP3系列采用65nm技术,有149K逻辑单元和支持多达486个用户I/O,可提供多达320个18x18乘法器以及各种并行I/O标准。广泛用于批量生产的对成本和功耗敏感的有线和无线基础设备以及各种多媒体设备。

LatticeECP3主要特性:

Higher Logic Density for Increased System Integration

• 17K to 149K LUTs

• 133 to 586 I/Os

Embedded SERDES

• 150 Mbps to 3.2 Gbps for Generic 8b10b, 10-bit SERDES, and 8-bit SERDES modes

• Data Rates 230 Mbps to 3.2 Gbps per channel for all other protocols

• Up to 16 channels per device: PCI Express, SONET/SDH, Ethernet (1GbE, SGMII, XAUI), CPRI, SMPTE 3G and Serial RapidIO

sysDSP™

• Fully cascadable slice architecture

• 12 to 160 slices for high performance multiply and accumulate

• Powerful 54-bit ALU operations

• Time Division Multiplexing MAC Sharing

• Rounding and truncation

• Each slice supports

–Half 36x36, two 18x18 or four 9x9 multipliers

–Advanced 18x36 MAC and 18x18 Multiply-Multiply-Accumulate (MMAC) operations

Flexible Memory Resources

• Up to 6.85Mbits sysMEM™ Embedded Block RAM (EBR)

• 36K to 303K bits distributed RAM

sysCLOCK Analog PLLs and DLLs

• Two DLLs and up to ten PLLs per device

Pre-Engineered Source Synchronous I/O

• DDR registers in I/O cells

• Dedicated read/write levelling functionality

• Dedicated gearing logic

• Source synchronous standards support

–ADC/DAC, 7:1 LVDS, XGMII

–High Speed ADC/DAC devices

• Dedicated DDR/DDR2/DDR3 memory with DQS support

• Optional Inter-Symbol Interference (ISI) ? correction on outputs

Programmable sysI/O™ Buffer Supports Wide Range of Interfaces

• On-chip termination

• Optional equalization filter on inputs

• LVTTL and LVCMOS 33/25/18/15/12

• SSTL 33/25/18/15 I, II

• HSTL15 I and HSTL18 I, II

• PCI and Differential HSTL, SSTL

• LVDS, Bus-LVDS, LVPECL, RSDS, MLVDS

Flexible Device Configuration

• Dedicated bank for configuration I/Os

• SPI boot flash interface

• Dual-boot images supported

• Slave SPI

• TransFR™ I/O for simple field updates

• Soft Error Detect embedded macro

System Level Support

• IEEE 1149.1 and IEEE 1532 compliant

• Reveal Logic Analyzer

• ORCAstra FPGA configuration utility

• On-chip oscillator for initialization & general use

• 1.2V core power supply

LatticeECP3™系列选择指引表:



图1。LatticeECP3-35简化方框图(顶层)

LatticeECP3视频协议板

LatticeECP3 Video Protocol Board
The LatticeECP3™ FPGA family includes many features for video applications. For example, DisplayPort, SMPTE standards (SD-SDI, HD-SDI and 3G-SDI), DVB-ASI, DVI and HDMI can be implemented with 16 channels of embedded SERDES/PCS. 7:1 LVDS video interfaces like ChannelLink and CameraLink can be supported by the generic DDRX2 mode on the I/O pins. When configuring to TRLVDS mode, the I/O pins on banks 0 and 1 can also be used to receive the TMDS signals of DVI or HDMI video standard.

This user’s guide describes revision C of the LatticeECP3 Video Protocol Board featuring the LatticeECP3 LFE3-95E-7FN1156C FPGA device. The stand-alone evaluation PCB provides a functional platform for development and rapid prototyping of many different video applications.

图2。LatticeECP3视频协议板外形图-Rev.C

主要特性:

• Video interfaces for interconnection to video standard equipment

• Allow the demonstration of SD/HD/3G-SDI, DisplayPort and PCI Express (x4) interfaces using SERDES channels

• High speed Mezzanine connector connected to SERDES channels for future expansion

• Allows the demonstration of LVDS video standards – ChannelLink and CameraLink

• Allows control of SERDES PCS registers using the Serial Client Interface (ORCAstra)

• Allows the demonstration of receiving TMDS signals using the DVI interface

• On-board Boot Flash with Serial SPI Flash memory device

• Shows interoperation with high performance DDR2 memory components

• Driver-based “run-time” device configuration capability via an ORCAstra or RS232 interface

• SMAs for external high-speed clock / PLL inputs

• Switches, LEDs and LCD display header for demo purposes

• Mictor connector for using Logic Analyzer in the debugging phase

• Input connection for lab-power supply

• Power connections and power sources

• ispVM™ programming support

• On-board and external reference clock sources

• Various high-speed layout structures

• User-defined input and output points

• Performance monitoring via test headers, LEDs and switches


图3。LatticeECP3视频协议板-Rev. C功能框图

图4。DisplayPort 视频接口方框图

图5。LatticeECP3视频协议板方框图

图6。LatticeECP3视频协议板电路图-电源

图7。LatticeECP3视频协议板电路图-电源管理

图8。LatticeECP3视频协议板电路图-FPGA电源

图9。LatticeECP3视频协议板电路图-FPGA配置

图10。LatticeECP3视频协议板电路图-SERDES

图11。LatticeECP3视频协议板电路图-DDR2存储器

图12。LatticeECP3视频协议板电路图-DVVLCD/RS232

图13。LatticeECP3视频协议板电路图-通路/照相机连接

图14。LatticeECP3视频协议板电路图-TI ADC/CLOCK

图15。LatticeECP3视频协议板电路图-LED/开关

图16。LatticeECP3视频协议板电路图-SDI驱动/均衡器

图17。LatticeECP3视频协议板电路图-SDI基准时钟控制

图18。LatticeECP3视频协议板电路图-SRI Rx基准时钟

图19。LatticeECP3视频协议板电路图-SRI Tx基准时钟

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

Bourns® TLVR1005T 和 TLVR1105T 系列采用双绕组结构和低感值设计,可提供快速瞬态响应,并可依据 CPU、FPGA 和 ASIC 负载要求进行延展

关键字: 数据驱动 电感器 FPGA

对于大规模数据处理,最佳性能不仅取决于原始计算能力,还取决于高存储器带宽。 因此,全新 AMD Alveo™ V80 计算加速卡专为具有大型数据集的内存受限型应用而设计,这些应用需要 FPGA 硬件灵活应变能力以实现工作...

关键字: 自适应计算 FPGA

8b10b编码作为数字通信领域中的一项重要线路编码方案,其核心理念在于将每8位数据映射到10位编码中。这个映射过程严格按照特定规则进行,旨在保证编码中的电平转换足够,以维持信号的直流平衡,并提供足够的时钟信息,使接收端能...

关键字: FPGA 8b/10b编码 IC设计

在FPGA和IC设计领域,经常会面临一个挑战:多个端口同时竞争一个端口的数据。在这种情况下,采用RR调度策略可能是一种解决方案。

关键字: FPGA 嵌入式系统 IC设计

2024 年5月13日 – 专注于推动行业创新的知名新品引入 (NPI) 代理商™贸泽电子 (Mouser Electronics) 是英特尔®产品的全球授权代理商。英特尔®宣布正式成立Altera™,作为其独立运营的全...

关键字: FPGA 人工智能 以太网

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC

关键字: RISC-V处理器 FPGA SoC

为无处不在的端侧设备插上AI的翅膀,AMD发布第二代Versal™ 自适应 SoC

关键字: AMD FPGA 自适应SoC AI 边缘计算

Pmod接口可以说是数字电路板的连接革命。随着科技的飞速发展,数字电路板间的通信与连接技术也在不断创新和进步。Pmod接口,作为一种新兴的数字接口标准,正逐渐成为数字电路板间通信的桥梁,为电子设备的连接和通信带来了革命性...

关键字: pmod接口 FPGA 数字电路板

BNC接口是一种常见的电子连接器,广泛应用于视频和音频设备之间的信号传输。它具有简单、可靠且易于使用的特点,被广泛用于监控领域、广播电视行业和电子测试设备中。

关键字: sdi接口 bnc 视频
关闭
关闭