当前位置:首页 > 公众号精选 > 芯片验证工程师
[导读]将Systemverilog中的数组和队列拿出来单独讲,是因为相对于其他的数据类型,数组和队列与C语言和Verilog语言的数组有着不同的特性。这些特性不仅体现在完全迥异于C语言的定义方式,也体现在其成员函数上。Systemverilog将数组分为三种,分别为静态数组、动态数组以...

Systemverilog中的数组和队列拿出来单独讲,是因为相对于其他的数据类型,数组和队列与 C 语言和 Verilog 语言的数组有着不同的特性。 这些特性不仅体现在完全迥异于 C 语言的定义方式,也体现在其成员函数上。


Systemverilog将数组分为三种,分别为静态数组、动态数组以及关联数组。

静态数组是指数组的大小在定义时就已经被指定,类似于 C 语言中数组的定义。Systemverilog扩展了 C 语言中数组的概念, 其基于存储的有效性和访问的灵活性将静态数组又分为了压缩数组和非压缩数组。


非压缩数组和 C 语言中的数组定义相同,和 C 语言中数组的定义类似, 非压缩数组被分为一维数组和二维数组。对于压缩数组,我们可以理解为字符的拼合, 即用 8 个 bit 型的数据就可以将其压缩储存为一个 byte 型的数据,同样 4 个 byte 型的数据,我们也可以将其压缩存储为一个 int 型的数据。


比如我们定义一个 byte [3:0] int_data;这样的压缩数组,这个压缩数组就是将 4 个 byte 型的数据(int_data[0]、int_data[1]、int_data[2]、int_data[3])压缩为一个 int 型数据(int_data)。压缩数组的好处是:在访问压缩数组时我们可以按照压缩前的数据方式来访问,也可以按照压缩后的数据方式来访问,这两种方式都很方便。


我在实践中对于静态数组(包括压缩数组和非压缩数组)用得比较少,因为Systemverilog有更强大的数据类型。


动态数组是在仿真过程中动态分配数组大小。同样动态数组也分为压缩数组和非压缩数组。下面重点讲述非压缩数组。
动态数组的声明语法如下:

byte data_array[ ];没错,动态数组的括号中什么也不写。其在使用的过程中通过 new 函数

data_array = new [100];来分配数组空间。在用 new 函数分配数组大小时,分配多大都是可以的。对于动态数组,我在实践中用的比较多的是在 transaction 中的 unpack_bytes

和 pack_bytes 的成员函数中用的比较多。如下所示:
在前面定义一个动态数组:

byte unsigned emac_data[];//用于将接收到的 emac 帧入队在后面将 transaction 拆包成 byte 数组时,用到成员函数 pack_bytes( )。

emac_size=emac_tr.pack_bytes(emac_data)/8;//将接收到的 emac_tr 帧存到 emac_data 缓冲区中该成员函数中传入的参数只能是动态数组类型的。在这里没有用到 new 函数,因为我们不知道该 transaction 的大小是多少。但是这里有个问题,就是当emac_tr 的长度大于 2000 时,这里在仿真过程中会出错误(基于 Questasim 环境
中),初步断定原因是如果一个动态数组没有用 new 函数的话,Systemverilog会默认给其分配一个长度为 2000 的值。当传递过来的长度大于 2000 时就会报错,不过这个也只是我的猜测。


在我应用的环境中是对 emac 帧进行操作,而 emac 帧的长度最大为 1518 字节,因此没有出现上面的这个问题。

关联数组是一种通过标号来分配和访问空间的数组。在仿真过程中我们常常会用到超大规模的数组中的部分地址的数据,如果全部分配的话,将消耗巨大的内存空间。关联数组能够只分配使用到的特定地址的空间。

队列是 Systemverilog中最强大的数据类型之一,通过访问的不同可以将一个队列很容易地变为一个 FIFO、 变为一个双口 RAM等等, 总之可以实现很多在 C语言中实现起来很复杂的功能。并且在具体编程的过程中,开始用数组实现的功能,最终我都将其转化为用队列实现了。


队列是一个具有相同数据类型的、大小动态变化的数组,它类似于 C 语言的链表的结构。定义方法是:

byte queue[$];//定义一个字符型的队列队列的一个好处是其在定义时不分配空间,在具体仿真过程中随着队列长度的增加分配空间,这也类似于 C 语言中的链表。队列可以定义成各种类型,包括 uvm_sequence_item 类型的都可以。


通过成员函数才能实现对队列的访问。下面是队列常用的成员函数。

function int size();//返回队列中成员的数目。function void insert(int index,queue_type item);//插入操作function void delete(int index);//删除操作function queue_type pop_front();//弹出队列的第一个值function queue_type pop_back();//弹出队列的最后一个值function void push_front(queue_type item);//在队列头插入值function void push_back(queue_type item);//在队列尾插入值通过上面七个函数,可以很容易的对队列进行各种操作,十分方便。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

在基于Verilog或VHDL的验证平台中,验证平台和DUT连接在一起,验证平台中包含激励发送和响应监测模块,在仿真的开始DUT和验证平台就被加载到仿真器的内存当中并在整个仿真期间一直存在。也就是说,验证平台和DUT都是...

关键字: System

SystemVerilog引入了面向对象的思想。对象句柄为语言提供了一种安全的、类似于指针的机制。类提供了继承和抽象建模的能力,这就将不带有任何类型安全性问题的C函数指针的优点引入到了SystemVerilog中,因此它...

关键字: System 面向对象

下面是一个小的真实verilog代码,具有异步set/reset逻辑(低电平有效)的触发器模型。这个verilog模型可以正确地综合,但在一个cornercase情况下仿真结果不正确。这个cornercase是什么?al...

关键字: corner verilog se

设计分2种,一种叫前向设计,另一种叫后向设计。 后向设计就是我们只知道需求,知道要实现什么功能,但是暂时脑子里还没有具体的结构。多数时候都是后向设计。此时,先开始把module的input和output写好。然后从out...

关键字: verilog 电路图

SV将C语言中的大部分特性包含进去,包括了相当多的数据类型和操作符。1.SystemVerilog支持C语言内建的数据类型。为了避免int和long数据类型的重复带来混淆,在SV中,int是32位的,longint是64...

关键字: C语言 System

SV开辟了一条可以将SV和C语言结合在一起的新途径,通过使用DPI的导入声明,SV语言中可以方便地调用一个C函数。同样SV中的数值可以作为输入值直接输入到C函数中,C函数的返回值也可以直接传递给SV。同样,使用DPI的导...

关键字: System

当前最流行的硬件设计语言有两种,即 VHDL 与 Verilog HDL,两者各有优劣,也各有相当多的拥护者。VHDL 语言由美国军方所推出,最早通过国际电机工程师学会(IEEE)的标准,在北美及欧洲应用非常普遍。而 V...

关键字: vhdl verilog

1. 前言如果你只是想检查Verilog文件的语法是否有错误,然后进行一些基本的时序仿真,那么IcarusVerilog就是一个不错的选择。相比于各大FPGA厂商的IDE几个G的大小,IcarusVerilog显得极其小...

关键字: verilog 开源

先简单介绍下同步时序和异步时序逻辑,看下他们的异同点。

关键字: hdl verilog 同步时序

为了应付日益增长的复杂性,必须提高抽象的水平。但当摩尔定律将SoC(系统单芯片)的复杂性加速到逃逸速度时,哪里能找到一种作为RTL(寄存器传输级)补充的新抽象方法?很多观察家注意到,面向硬件的文

关键字: ip SoC verilog
关闭
关闭