当前位置:首页 > 工业控制 > 电子设计自动化

这是一个在设计中常犯的错误列表,这些错误常使得你的设计不可靠或速度较慢,为了提高你的设计性能和提高速度的可靠性,你必须确定你的设计通过所有的这些检查 。

可靠性

**为时钟信号选用全局时钟缓冲器BUFG
• 不选用全局时钟缓冲器的时钟将会引入偏差 。

**只用一个时钟沿来寄存数据
• 使用时钟的两个沿是不可靠的因为时钟的某沿或者两个沿会漂移; 如果时钟有漂移而且你只使用了时钟的一个沿你就降低了时钟边沿漂移的风险。
• 这个问题可以这样来解决就是允许CLKDLL自动纠正时钟的占空比以达百分之五十的占空比否则强烈建议你只使用一个时钟沿

**除了用CLKDLL或DCM产生的时钟外不要在内部产生时钟.
• 这包括产生门控时钟和分频时钟
• 作为替代可以建立时钟使能或使用CLKDLL或DCM来产生不同的时钟信号。
• 对于一个纯同步设计建议你在任何可能的情况下只使用一个时钟

**不要在内部产生异步的控制信号 例如复位信号或者置位信号
• 内部产生的异步控制信号会产生毛刺
• 作为替代可以产生一个同步的复位/置位信号这个信号的译码要比需要作用的时刻提前一个时钟周期

**不要使用没有相位关系的多个时钟
• 你也许并不总能避免这个条件在这些情况下确定你已使用了适当的同步电路来跨越时钟域

**不要使用没有相位关系的多个时钟
• 再次你也许并不总能避免这个条件,相反许多设计都需要这样,在这些情况下确定你已适当地约束了跨越时钟域的路径

**不要使用内部锁存器
• 内部锁存器会混淆时序而且常常会引入另外的时钟信号
• 内部锁存器在透明门打开时可以被看成是组合逻辑但在门被锁存时 可以被看成是同步元件这将会混淆时序分析
• 内部锁存器常常会引入门控时钟门控时钟会产生毛刺使得设计变得不可靠

性能

**逻辑级的时延不要超过时序预算的百分之五十
• 每个路径逻辑级时延可以在逻辑级时序报告或布局后时序报告中找到详细分析了每个路径之后时序分析器将生成每个路径时延的统计量检查一下总共的逻辑级时延超过了你的时序预算的百分之五十吗?

**IOB 寄存器
• IOB寄存器提供了最快的时钟到输出和输入到时钟的时延
• 首先有一些限制对于输入寄存器在从管脚到寄存器间不能有组合逻 辑存在对于输出寄存器在寄存器和管脚之间也不能有组合逻辑存在对于三态输出在IOB中的所有的寄存器必须使用同一个时钟信号和复位信号而且IOB三态寄存器必须低电平有效才能放到IOB中三态缓冲器低电平有效所以在寄存器和三态缓冲器之间不需要一个反相器
• 你必须使软件能够选用IOB寄存器你可以设置全局实现选项为输入 输出或输入输出选择IOB寄存器缺省值为关(off)。
• 你也可在综合工具或在用户约束文件UCF中设定使得能够使用IOB寄存器句法为: INST IOB = TRUE;

**对于关键的输出选择快速转换速率
• 可以为LVCMOS和LVTTL电平选择转换速率快速的转换速率会降低输 出时延但会增加地弹所以你必须在仔细考虑的基础之上选择快速转换速率

**流水逻辑
• 如果你的设计允许增加延迟对组合逻辑采用流水操作可以提高性能
• 在Xilinx的FPGA中有大量的寄存器对每一个四输入函数发生器有一个对应的寄存器在牺牲延迟的情况下利用这些寄存器来增加数据吞吐量

**为四输入的查找表结构进行代码优化
• 记住每一个查找表可以建立一个四输入的组合逻辑函数如果你需要更大的功能记住实现该功能所需的查找表的数目

**使用Case语句而不是if-then-else语句
• 复杂的if-then-else语句通常会生成优先级译码逻辑这将会增加这些路径上的组合时延
• 用来产生复杂逻辑的Case语句通常会生成不会有太多时延的并行逻辑 对于Verilog用户可以使用编译向导synopsys parallel_case

**使用一个或多个核生成器块
• 核生成器块针对 Xilinx的结构进行了优化许多块都可以允许用户配置包括大小宽度和流水延迟
• 查看你设计中的关键路径你是否可以在核生成器中产生一个核来提高键路径性能

**使有限状态机FSM保持在层次中的自己所在的那一级
• 为了允许综合工具完全优化你的FSM它必须在它自己的块中优化如果不是这样的话这将使得综合工具将FSM逻辑和它周围的逻辑一起优化
• FSM不能包括任何的算术逻辑数据通路逻辑或者其它与状态机不相关的组合逻辑

**使用两个进程或always块的有限状态机
• 下一个状态和输出译码逻辑必须放在独立的进程或always块中这将不允许综合工具在输出和下一个状态译码逻辑之间共享资源

**使用一位有效编码有限状态机FSM
• 一位有效编码通常会在富含寄存器的FPGA中提供最高性能的状态机

**为每一个叶级leaf-level块提供寄存输出
• 叶级块是可以推论逻辑的块而结构级(structural-level)的块仅例化较 底层的块这样就建立了层次
• 如果叶级块被锁存输出则可使综合工具保留层次这可使分析这些代码 的静态时序变得比较容易
• 对边界进行寄存可以使得各个块之间有确定的时序关系

**利用有适当管脚定位约束的数据流
• Xilinx器件中的数据流是在水平方向上的这里部分的原因是进位链是在垂直方向上的另外还有其它的原因三态缓冲线在水平方向上排列块之间也有水平方向上的直接连接
• 为了利用数据流地址和数据管脚必须放在芯片的左侧或右侧同时注意因为进位链是自下而上的所以将最低位放在最下面控制信号放在芯片的上部和下部

**不同的计数器风格
• 二进制计数器是非常慢的如果你的二进制计数器是关键路径可以考虑使用不同的风格的计数器LFSRPre-scalar或Johnson

**设计是层次化的被分成不同的功能块和技术块
• 设计必须被划分成不同的功能块首先是较顶层的功能块然后是较底层的块你也应该包括特定技术的块
• 设计层次化必须使得设计更可读更易调试更易复用

**复制的高扇出网络
• 这可以通过你的综合工具来进行控制然而为了更紧地控制复制你可以选择复制寄存器

**利用四种全局约束来对设计进行全局的约束周期对每个时钟偏置输入偏置输出管脚-到-管脚
• 你也许会有针对多周期路径失败路径和关键路径的其它约束但是你必须总要从指定四个全局约束开始

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC

关键字: RISC-V处理器 FPGA SoC

为无处不在的端侧设备插上AI的翅膀,AMD发布第二代Versal™ 自适应 SoC

关键字: AMD FPGA 自适应SoC AI 边缘计算

Pmod接口可以说是数字电路板的连接革命。随着科技的飞速发展,数字电路板间的通信与连接技术也在不断创新和进步。Pmod接口,作为一种新兴的数字接口标准,正逐渐成为数字电路板间通信的桥梁,为电子设备的连接和通信带来了革命性...

关键字: pmod接口 FPGA 数字电路板

近日举办的GTC大会把人工智能/机器学习(AI/ML)领域中的算力比拼又带到了一个新的高度,这不只是说明了通用图形处理器(GPGPU)时代的来临,而是包括GPU、FPGA和NPU等一众数据处理加速器时代的来临,就像GPU...

关键字: FPGA AI 图形处理器

当我们提到成本优化型FPGA,往往与简化逻辑资源、有限I/O和较低制造工艺联系在一起。诚然,在成本受限的系统设计中,对于价格、功耗和尺寸的要求更为敏感;但随着一系列创新应用的发展、随着边缘AI的深化,成本优化型FPGA也...

关键字: AMD FPGA Spartan 边缘计算

全球领先的高性能现场可编程门阵列(FPGA)和嵌入式FPGA(eFPGA)半导体知识产权(IP)提供商Achronix Semiconductor公司宣布,该公司参加了由私募股权和风险投资公司Baird Capital举...

关键字: FPGA 智能汽车 eFPGA

全新 FPGA 能为嵌入式视觉、医疗、工业互联、机器人与视频应用提供高数量 I/O、功率效率以及卓越的安全功能

关键字: FPGA 嵌入式视觉 机器人

Altera致力于为客户提供端到端的FPGA、易于使用的AI、软件和弹性供应链。

关键字: FPGA AI

在半导体领域,大部分对于AI的关注都集中在GPU或专用AI加速器芯片(如NPU和TPU)上。但事实证明,有相当多的组件可以直接影响甚至运行AI工作负载。FPGA就是其中之一。

关键字: FPGA AI 半导体

半导体产品老化是一个自然现象,在电子应用中,基于环境、自然等因素,半导体在经过一段时间连续工作之后,其功能会逐渐丧失,这被称为功能失效。半导体功能失效主要包括:腐蚀、载流子注入、电迁移等。其中,电迁移引发的失效机理最为突...

关键字: 半导体 电迁移 FPGA
关闭
关闭