当前位置:首页 > 芯闻号 > 充电吧
[导读]Verilog一例(同步与异步时序) 问题顶层模块有一个50MHz时钟输入(使用testbench实现),一个8位信号输出。有一个容量为90的8位RAM子模块,每个时钟上升沿,RAM根据8位地址线,

Verilog一例(同步与异步时序) 问题

顶层模块有一个50MHz时钟输入(使用testbench实现),一个8位信号输出。

有一个容量为90的8位RAM子模块,每个时钟上升沿,RAM根据8位地址线,输出对应的数据。

顶层模块在每100个时钟周期里,前10个时钟周期信号无效,输出为0;后面90个时钟周期,输出值分别为RAM中地址0~89的数据。

代码实现 RAM子模块


module ram(    input clk,    input [7:0] addr,    output reg [7:0] data);always @(posedge clk) begin    data <= addr;endendmodule顶层模块


module sync_async();// 50MHz时钟 testbenchreg clk = 0;always #10 clk = ~clk;// 0~99循环计数器reg [7:0] cnt = 0;always @(posedge clk) begin    if(cnt == 99)        cnt <= 0;    else        cnt <= cnt + 1;end// 数据有效wire valid;assign valid = cnt >= 10;// 地址线wire [7:0] addr;assign addr = cnt - 10;// 调用子模块,读取ram数据wire [7:0] ramdata;ram ram1(clk, addr, ramdata);// 输出wire [7:0] out;assign out = valid ? ramdata : 0;endmodule仿真与分析

一眼看上去,好像程序是没有问题的。

使用软件进行仿真后的时序图如下。

从仿真波形就看出问题来了。当cnt=0~9的时候,输出都是out=0没有问题。但是当cnt=10的时候,输出变成了255。之后所有的数据都滞后了一个时钟周期。

原因在于代码中的同步异步设计不协调。

代码中,RAM子模块是上升沿触发并且同步输出的(这样也比较符合正常的RAM结构),而不是直接由组合逻辑电路实现。如果直接将assign addr = cnt - 10作为RAM的地址线,RAM的输出相对于addr和cnt的值会滞后一个周期。也就是说,当RAM输出地址为0的数据时,实际上addr的值已经是1了。

在RAM模块的always语句中(always @(posedge clk) data <= addr;),使用同步赋值操作data <= addr在时钟上升沿时触发,上升沿结束后data输出的值,为上升沿前一瞬间addr的值。

而另一方面,valid变量却使用的是直接异步赋值,相比cnt,不会有滞后。

于是在cnt==10的时候,valid已经变成1,而RAM还没有输出地址为0的数据,所以发生了与设计不相符的问题。

解决方法

一种比较容易想到的方法是,将valid信号的跳变,也设计成和RAM一样的上升沿同步触发。即将


// 数据有效wire valid;assign valid = cnt >= 10;

改为


// 数据有效reg valid = 0;always @(posedge clk) begin    valid = cnt >= 10;end

修改正确后的仿真波形如下图,可以看出valid信号和RAM信号相对于cnt,都滞后了一个周期。从而实现了问题中给出的要求。



本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

下面是一个小的真实verilog代码,具有异步set/reset逻辑(低电平有效)的触发器模型。这个verilog模型可以正确地综合,但在一个cornercase情况下仿真结果不正确。这个cornercase是什么?al...

关键字: corner verilog se

设计分2种,一种叫前向设计,另一种叫后向设计。 后向设计就是我们只知道需求,知道要实现什么功能,但是暂时脑子里还没有具体的结构。多数时候都是后向设计。此时,先开始把module的input和output写好。然后从out...

关键字: verilog 电路图

将Systemverilog中的数组和队列拿出来单独讲,是因为相对于其他的数据类型,数组和队列与C语言和Verilog语言的数组有着不同的特性。这些特性不仅体现在完全迥异于C语言的定义方式,也体现在其成员函数上。Syst...

关键字: System verilog

当前最流行的硬件设计语言有两种,即 VHDL 与 Verilog HDL,两者各有优劣,也各有相当多的拥护者。VHDL 语言由美国军方所推出,最早通过国际电机工程师学会(IEEE)的标准,在北美及欧洲应用非常普遍。而 V...

关键字: vhdl verilog

1. 前言如果你只是想检查Verilog文件的语法是否有错误,然后进行一些基本的时序仿真,那么IcarusVerilog就是一个不错的选择。相比于各大FPGA厂商的IDE几个G的大小,IcarusVerilog显得极其小...

关键字: verilog 开源

先简单介绍下同步时序和异步时序逻辑,看下他们的异同点。

关键字: hdl verilog 同步时序

为了应付日益增长的复杂性,必须提高抽象的水平。但当摩尔定律将SoC(系统单芯片)的复杂性加速到逃逸速度时,哪里能找到一种作为RTL(寄存器传输级)补充的新抽象方法?很多观察家注意到,面向硬件的文

关键字: ip SoC verilog

我现在最庆幸的事情就是从进入职场到现在一直是FPGA开发,我感觉,做FPGA开发这行经验是很重要的,入门简单,想提升会越来越难。做FPGA开发不只是会写写verilog和VHDL代码这么简单,我记得刚学习verilog的...

关键字: fpga开发 verilog vhdl代码

分频分为偶分频和奇分频。分频器从某种程度上来讲是计数器有计划的输出。1.偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实现的。如进行N倍偶数分频,那么可以通过由待分频的时钟

关键字: verilog 3分频器
关闭
关闭