当前位置:首页 > 电源 > 数字电源
[导读]1 引 言   直接数字频率合成技术(Direct DigitalFrequencySynthesis,即DDFS,一般简称DDS)是从相位概念出发直接合成所需波形的一种新的频率合成技术。近年来,技术和器件水平不断发展,这使DDS合成技术也得到

1 引 言
  直接数字频率合成技术(Direct DigitalFrequencySynthesis,即DDFS,一般简称DDS)是从相位概念出发直接合成所需波形的一种新的频率合成技术。近年来,技术和器件水平不断发展,这使DDS合成技术也得到了飞速的发展,它在相对带宽、频率转换时间、相位连续性、正交输出、高分辨力以及集成化等一系列性能指标方面已远远超过了传统的频率合成技术所能达到的水平,完成了频率合成技术的又一次飞跃,是目前运用最广泛的频率合成技术。
  目前,各大芯片制造厂商都相继推出采用先进CMOS工艺生产的高性能、多功能的DDS芯片(其中应用较为广泛的是AD公司的AD985X系列),为电路设计者提供了多种选择。然而在某些场合,DDS芯片在控制方式、置频速率等方面与系统的要求差距很大,这时如果用高性能的FPGA器件来设计符合自己需要的DDS电路就是一个很好的解决方法。
  ACEX1K器件是Altera公司着眼于通信、音频处理及类似场合的应用而推出的芯片系列,总的来看,它将会逐步取代FLEX10K系列,成为首选的中规模器件产品。ACEX1K器件具有以下优点:
  ·高性能。ACEX1K器件采用查找表(LUT)和EAB(嵌入式阵列块)相结合的结构,特别适用于实现
复杂逻辑功能和存储器功能,例如通信中应用的DSP、多通道数据处理、数据传递和微控制等。
  ·高密度。典型门数为1万到10万门,有多达49152位的RAM(每个EAB有4096位RAM)。
  ·系统性能。器件内核采用2.5V电压,功耗低,能够提供高达250MHz的双向I/O功能,完全支持33MHz和66MHz的PCI局部总线标准。
  ·灵活的内部互联。具有快速连续式延时可预测的快速通道互连(Fast Track);能提供实现快速加法器、计数器、乘法器和比较器等算术功能的专用进位链和实现高速多扇入逻辑功能的专用级连链。
  本设计采用的是ACEX EP1K50,其典型门数50000门,逻辑单元2880个,嵌入系统块10个,完全符合单片实现DDS电路的要求。设计工具为Altera的下一代设计工具Quartus软件。
2 DDS的工作原理和电路结构
  图1所示是一个基本的DDS电路工作原理框图。
  DDS的工作原理是以数控振荡器的方式,产生频率、相位可控制的正弦波(SineWave)。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。
  其中,频率累加器对输入信号进行累加运算,产生频率控制数据(Frequency Data或相位步进量Phase Increment)。
  相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的二进制码进行累加运算,是典型的反馈电路,产生累加结果Y。
  幅度/相位转换电路实质是一个波形存储器(WaveformMemory),以供查表使用。读出的数据送入D/A转换器和低通滤波器。
  具体工作过程如下:
  每来一个时钟脉冲Fclk,N位加法器将频率控制数据X与累加寄存器输出的累加相位数据相加,把相加后的结果Y送至累加寄存器的输入端。累加寄存器一方面将在上一时钟周期作用后所产生的新的相位数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据X相加;另一方面,将这个值作为取样地址值送入幅度/相位转换电路(即图1中的波形存储器),幅度/相位转换电路根据这个地址值输出相应的波形数据。最后,经数/模转换(D/AConverter)和低通滤波器(LowPass Filter)将波形数据转换成所需要的模拟波形。相位累加器在基准时钟的作用下,进行线性相位累加,当相位累加器累加满量时就会产生一次溢出,这样就完成了一个周期,这个周期也就是DDS合成信号的一个频率周期。[!--empirenews.page--]
  DDS输出信号的频率由式(1)给定:
  Fout=(X/Y)×Fclk(1)
  例如,我们假定基准时钟为70 MHz,累加器为16位,则:
  Y=216=65,536
  Fclk=70MHz
再假定X=4096,则:
  Fout=4096/65536×70=4.375MHz
  可见,理论上通过设定DDS相位累加器位数频率控制字X和基准时钟Fclk的值,就可以产生任一频率的输出。而DDS的频率分辨率定义为:
  Fres=Fclk/Y(2)
  由于基准时钟一般固定,因此相位累加器的位数就决定了频率分辨率。比如上面的例子中,相位累加器为16位,那么频率分辨率就可以认为是16位。位数越多,分辨率越高。
3 利用FPGA(ACEXEP1K50)设计DDS
  (1)在用FPGA设计DDS电路的时候,相位累加器是决定DDS性能的一个关键部分,小的累加器可以利用ACEX器件的进位链得到快速、高效的电路结构。然而,由于进位链必须位于临近的LAB(逻辑阵列块)和LE(逻辑单元)内,因此,长的进位链势必会减少其它逻辑使用的布线资源,同时过长的进位链也会制约整个系统速度的提高。

  另一种提高速度的办法就是采用流水线技术,即把在一个时钟内要完成的逻辑操作分成几步较小的操作,并插入几个时钟周期来提高系统的数据吞吐率。但是流水线技术比较适合开环结构(Open-Loop)的电路,要用在累加器这样的闭环反馈(Close-LoopFeedback)的电路中必须谨慎考虑,以保证设计的准确无误。
  综合考虑后,这一部分决定采用进位链和流水线技术相结合的办法,这样既能保证较高的资源利用率,又能大幅提高系统的性能和速度。
  (2)相位/幅度转换电路是DDS电路中另一个关键,设计中面临的主要问题就是资源的开销。电路通常采用ROM结构,相位累加器的输出是一种数字式锯齿波,通过取它的高若干位作为ROM的地址输入,经查表(LUT)和运算后,ROM就输出所需波形的量化数据。
  ROM一般在FPGA(针对Altera公司的器件)中由EAB实现,且ROM表的尺寸随着地址位数或数据位数的增加呈指数递增关系,因此,在满足信号性能的前提条件下,如何减少资源的开销就是一个重要问题,实际设计时,我们充分利用了信号周期内的对称性和算术关系来减少EAB的开销。
  (3)实际运用时,我们参照项目具体要求,设计了一个系统控制电路。[!--empirenews.page--]
  综上考虑,我们利用图2的结构设计整个DDS电路。
  采用Verilog硬件描述语言来实现整个电路,这不仅有利于设计文档的管理,而且方便设计的修改和扩充及在不同FPGA器件之间的移植。

  由图2可以清楚地看出,整个系统只加入了一级流水线来提高速度,需要说明的是,在ROM和系统控制电路之间也可以加入流水线,但实际仿真表明,效果不明显,反而消耗了更多的资源,因此综合考虑后只加入一级流水线。
  为了进一步提高速度,在DDS电路的相位累加模块和加法器模块的设计时并没有采用FPGA单元库中的16~32位加法器,尽管它们可以很容易地实现高达32位的相位累加器,但当工作频率较高时,这种方法不可取,因为它们较大的延时不能满足速度要求。因此,具体实现时我们分别用了4个和8个4位的累加器以流水线的方式实现16位和32位累加器。比较仿真结果表明,采用流水线技术可以大大提高系统的工作速度。
  由前面的分析可知,相位/幅度变换电路也是较难实现的部分,它不仅要解决速度的问题,还要考虑节省资源的问题。如何有效利用FPGA有限的资源,是实现相位/幅度变换电路的最关键的一点。
  在实际运用中,我们将着眼点主要放在了节省资源上。相位/幅度转换电路中的主要问题在于ROM的大小上。本次设计的DDS主要用于数字视频编码中,因此只需要输出余弦(正弦)波,我们考虑了以下的优化方式:COS波信号对于x=π直线成偶对称,基于此可以将ROM表减至原来的1/2,再利用左半周期内,波形对于点(π/2,0)成奇对称,进一步将ROM表减至最初的1/4,因此,通过一个SIN码表的前1/4周期就可以变换得到SIN和COS的整个周期码表。这样,就节省了将近3/4的资源,非常可观。
  系统控制电路主要是根据是否需要相位调制(BPSK)及频率调制(BFSK),系统时钟是否需要分频得到所需的基准时钟,频率码的输入方式是串行、并行还是微机接口方式,如何控制输出等具体要求而设计的。这一部分可以灵活设计,凸现FPGA的优点所在。
4 用ACEXEP1K50实现的DDS电路与专用DDS芯片的比较
  这里对用ACEXEP1K50实现的DDS电路与专用DDS芯片进行了比较:
  (1)系统速度:用它实现DDS电路,16位精度(分辨率)的DDS电路最高频率达到148MHz,32位精度(分辨率)的电路最高工作频率107MHz,可以看出,这个频率已经是比较高了;而采用专用DDS芯片的话,频率在数十至数百兆赫兹之间,如AD9850为125MHz,AD9851为180MHz,较新的AD9854已经达到300MHz。用FPGA实现的DDS电路能工作在如此之高的频率主要依赖于ACEX系列器件先进的结构特点,以及前文提出的多种优化措施。
  (2)可控性:虽然有的专用DDS芯片的功能也比较多,但控制方式却是固定的,因此不一定是我们所需要的。而利用ACEX系列器件则可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,具有良好的实用性。
  (3)信号质量:专用DDS芯片由于采用特定的集成工艺,内部数字信号抖动很小,可以输出高质量的模拟信号;尽管利用ACEX系列也能输出较高质量的信号,但达不到专用DDS芯片的水平。
  (4)成本:专用DDS芯片价格较高,而将用FPGA器件设计的DDS电路嵌入到系统中并不会使成本增加多少。
5 结束语
  本文利用ALTERA公司的FPGA(ACEXEP1K50)器件,通过各种优化措施,设计开发了DDS电路,达到了预期的目的,具有较高的性价比。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

Bourns® TLVR1005T 和 TLVR1105T 系列采用双绕组结构和低感值设计,可提供快速瞬态响应,并可依据 CPU、FPGA 和 ASIC 负载要求进行延展

关键字: 数据驱动 电感器 FPGA

对于大规模数据处理,最佳性能不仅取决于原始计算能力,还取决于高存储器带宽。 因此,全新 AMD Alveo™ V80 计算加速卡专为具有大型数据集的内存受限型应用而设计,这些应用需要 FPGA 硬件灵活应变能力以实现工作...

关键字: 自适应计算 FPGA

8b10b编码作为数字通信领域中的一项重要线路编码方案,其核心理念在于将每8位数据映射到10位编码中。这个映射过程严格按照特定规则进行,旨在保证编码中的电平转换足够,以维持信号的直流平衡,并提供足够的时钟信息,使接收端能...

关键字: FPGA 8b/10b编码 IC设计

在FPGA和IC设计领域,经常会面临一个挑战:多个端口同时竞争一个端口的数据。在这种情况下,采用RR调度策略可能是一种解决方案。

关键字: FPGA 嵌入式系统 IC设计

2024 年5月13日 – 专注于推动行业创新的知名新品引入 (NPI) 代理商™贸泽电子 (Mouser Electronics) 是英特尔®产品的全球授权代理商。英特尔®宣布正式成立Altera™,作为其独立运营的全...

关键字: FPGA 人工智能 以太网

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC

关键字: RISC-V处理器 FPGA SoC

为无处不在的端侧设备插上AI的翅膀,AMD发布第二代Versal™ 自适应 SoC

关键字: AMD FPGA 自适应SoC AI 边缘计算

Pmod接口可以说是数字电路板的连接革命。随着科技的飞速发展,数字电路板间的通信与连接技术也在不断创新和进步。Pmod接口,作为一种新兴的数字接口标准,正逐渐成为数字电路板间通信的桥梁,为电子设备的连接和通信带来了革命性...

关键字: pmod接口 FPGA 数字电路板

近日举办的GTC大会把人工智能/机器学习(AI/ML)领域中的算力比拼又带到了一个新的高度,这不只是说明了通用图形处理器(GPGPU)时代的来临,而是包括GPU、FPGA和NPU等一众数据处理加速器时代的来临,就像GPU...

关键字: FPGA AI 图形处理器

当我们提到成本优化型FPGA,往往与简化逻辑资源、有限I/O和较低制造工艺联系在一起。诚然,在成本受限的系统设计中,对于价格、功耗和尺寸的要求更为敏感;但随着一系列创新应用的发展、随着边缘AI的深化,成本优化型FPGA也...

关键字: AMD FPGA Spartan 边缘计算
关闭
关闭