当前位置:首页 > 嵌入式 > 嵌入式教程
[导读]基于VHDL语言对高速A/D器件TLC5510控制的实现

--TLC5510 VHDL控制程序

  --文件名:TLC5510.vhd

  --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制

  --最后修改日期:2004.3.20

  library ieee;

  use ieee.std_logic_1164.all;

  entity tlc5510 is

  port(clk :in std_logic; --系统时钟

  oe :out std_logic; --TLC5510的输出使能/OE

  clk1:out std_logic; --TLC5510的转换时钟

  din:in std_logic_vector(7 downto 0); --来自TLC5510的采样数据

  dout:out std_logic_vector(7 downto 0)); --FPGA数据输出

  end tlc5510;

  architecture behav of tlc5510 is

  signal q:integer range 3 downto 0;

  begin

  process(clk) --此进程中,把CLK 进行4分频,得到TLC5510的转换时钟

  begin

  if clk'event and clk='1' then

  if q=3 then q<=0;

  else q<=q+1;

  end if;

  end if;

  if q>=2 then clk1<='1'; --对系统CLK进行4分频

  else clk1<='0';

  end if;

  end process;

  oe<='0'; --输出使能赋低电平

  dout<=din; --采样数据输出

  end behaver;

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

功率器件是一种专门用于控制、调节和放大电能的电子元件,主要用于处理大功率电信号或驱动高功率负载,如电机、变压器、照明设备等。

关键字: 功率器件 控制 放大电能

机器人是一种集成了机械、电子、控制、传感、人工智能等多学科先进技术的自动化装备,能够模仿或替代人类进行各种任务的设备。

关键字: 人工智能 电子 控制

MOS管,即金属-氧化物半导体场效应晶体管,是电子学中常用的一种半导体器件。它具有高频率、低噪声、高输入阻抗等特点,被广泛应用于各种电子设备和系统中。本文将详细介绍MOS管的作用。

关键字: 半导体 场效应晶体管 器件

伺服电机是一种高精度、高性能的电机,广泛应用于各种自动化控制系统中。控制伺服电机是实现自动化控制的关键环节,不同的控制方式具有不同的特点和适用场景。本文将介绍几种常见的伺服电机控制方式及其特点,并分析在实际应用中应注意的...

关键字: 伺服电机 控制 自动化

厦门2023年9月21日 /美通社/ -- 声学滤波器作为射频前端解决方案关键器件之一,在通信系统的演进中也需要技术的迭代及突破。随着第五代(5G)移动通信技术的广泛推进,现实网络环境频谱复杂度提升。在3GPP发布PC1...

关键字: SAW HP 滤波器 器件

大连2023年9月20日 /美通社/ -- 日前,国际独立第三方检测、检验和认证机构德国莱茵TÜV大中华区(以下简称"TÜV莱茵")受...

关键字: 控制 医疗服务 HEALTH 信息安全

用电路元件符号表示电路连接的图,叫电路图。电路图是人们为研究、工程规划的需要,用物理电学标准化的符号绘制的一种表示各元器件组成及器件关系的原理布局图

关键字: 可编程 电源 器件

 上海2023年9月7日 /美通社/ -- 近期,“国家卫生健康委国际交流与合作中心·因美纳公共卫生教育奖学金”项目(下称,“公共卫生教育奖学金”)完成首批奖学金颁发。该项目是由国家卫生健康委国际...

关键字: BSP 控制 组网 网络建设

张家港2023年8月25日 /美通社/ -- 对于塔机买家,需求的不仅仅是塔机的功能性,还有塔机的耐用性和残值。在这方面,波坦塔机以其卓越的品质和持久的稳定性,成为了一个值得信赖的选择。 当你在考虑购买二手塔机时,你应...

关键字: BSP 控制 变频调速 应力

上海2023年8月25日 /美通社/ -- 云顶新耀(HKEX 1952.HK)是一家专注于创新药和疫苗开发、制造、商业化的生物制药公司,今日宣布中国澳门特别行政区药物监督管理局已正式受理耐赋康®用于治疗IgA肾...

关键字: NDA 控制 新加坡 COM
关闭
关闭