当前位置:首页 > 工业控制 > 电子设计自动化
[导读]1. 在不是不得以的情况的话,不是用异步设计。而应该使用同步设计。2. 分割模块把一个设计分割为几个易于管理的块,有利于团队工作。如果只有一个主要功能模块则分为几个子模块。遵循SPEC分割。3. 复位要对DFF和LATC

1. 在不是不得以的情况的话,不是用异步设计。而应该使用同步设计。

2. 分割模块
把一个设计分割为几个易于管理的块,有利于团队工作。如果只有一个主要功能模块则分为几个子模块。遵循SPEC分割。

3. 复位
要对DFF和LATCH进行复位。设计时采用异步复位。复位信号要直接连入FF。

4. 对于FF的输出要用非阻塞语句。每个FF只能在一个always块中赋值。FF最好规定单沿触发。

5. 有些地方也不一定要用非阻塞语句。比如要从一个时钟产生另一个时钟时:
always @(posedge clka)
clkb = ~clka;

6. 有些时候也不是必须要统一一个沿触发,如DDR。

7. 组合逻辑
简单的组合逻辑用连续赋值实现。复杂的用always。描述组合逻辑时,一个需要注意的是latch的引入,要把if和case 的条件写全。

8. 组合的case
在case前赋值,来使case条件完备,不会出现额外的latch

9. 选择器



来源:零八我的爱0次

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

当前最流行的硬件设计语言有两种,即 VHDL 与 Verilog HDL,两者各有优劣,也各有相当多的拥护者。VHDL 语言由美国军方所推出,最早通过国际电机工程师学会(IEEE)的标准,在北美及欧洲应用非常普遍。而 V...

关键字: vhdl verilog

    串行总线和并行总线相比具有结构简单、占用引脚少、成本低的优点。常见的串行总线有USB、IEEE1394、I2C等,其中I2C总线具有使用简单的特点,在单片机、串行E2P

关键字: vhdl i2c 串行总线

  目前,许多厂商都提供通用的串行通信芯片,其传输方式分为同步方式和异步方式。其中,异步芯片大多与INTEL的8250芯片兼容;而同步方式,由于一般涉及到所支持的传输协议(BSC、HDLC、SD

关键字: FPGA cpld vhdl 通信芯片

当今汽车行业所面临的挑战与电信行业十多年前所经历的类似。混合动力电动汽车和燃料电池汽车等新技术也促进了研发活动的日趋活跃,正如我们在手机演变成多媒体设备的进程中所看到的一样。同样,电信业面临着功

关键字: vhdl 仿真 系统设计

0 引言 VHDL超高速集成电路硬件描述语言是随着集成电路系统化和高度集成化逐步发展起来的,是一种用于数字系统设计、测试,面向多领域、多层次的IEEE标准硬件描述语言。它从20世纪70年

关键字: EDA vhdl 医疗电子

在今年10月举办的《英雄联盟》十周年活动上,Riot Games(拳头游戏)宣布,名为《Wild Rift》全新版本的《英雄联盟》手游将于2020年登陆iOS、Android和主机平台。 近日,游戏博

关键字: 一致 截图 手游 端游 英雄联盟 风格

在使用lattice domiand时,出现了下面示图现象,一个输入信号总是出现在unconnected栏里,也即意味着你不能绑定管脚。logical net 'clkin' has no load.

关键字: lattice vhdl

一个VHDL程序代码包含实体(entity)、结构体(architecture)、配置(configuration)、程序包(package)、库(library)等。一、数据类型1.用户自定义数据类

关键字: vhdl

1、--GENERIC可以用来指定一些全局的变量,它要放在entity之后,port口映射之前entity ethernet isgeneric(--GENERIC语句指定的参数是全局的MIIM_PH

关键字: vhdl
关闭
关闭