当前位置:首页 > 芯闻号 > 充电吧
[导读]1、--GENERIC可以用来指定一些全局的变量,它要放在entity之后,port口映射之前entity ethernet isgeneric(--GENERIC语句指定的参数是全局的MIIM_PH

1、--GENERIC可以用来指定一些全局的变量,它要放在entity之后,port口映射之前

entity ethernet is

generic(--GENERIC语句指定的参数是全局的
MIIM_PHY_ADDRESS      : t_phy_address := (others => '0');
MIIM_RESET_WAIT_TICKS : natural       := 0;
MIIM_POLL_WAIT_TICKS  : natural       := DEFAULT_POLL_WAIT_TICKS;
MIIM_CLOCK_DIVIDER    : positive      := 50;
-- You need to supply the current speed via speed_override when MIIM is disabled
MIIM_DISABLE          : boolean       := FALSE

);

port(

a :input std_logic;

b:output std_logic



);

end entity;

GENERIC:此处的GENERIC什么的参数相当于.C文件声明的全局变量,可以在本.c文件中任何位置使用,如果需要定义所有文件都使用的全局变量,则需要在package中定义

如,

package const_def is

constant OCXO1PPS_DelayCounter:std_logic_vector(27 downto 0) := X"4C4B3FF";

constant OCXODelay1PPS_Counter:std_logic_vector(27 downto 0) := OCXO1PPS_DelayCounter+X"00C3500";

end const_def;

generic定义变量的作用,可以将定义的变量作为entity模块的一些配置参数的入口,比如定义一个timeperiod,默认=1000,当需要修改为2000时,可通过外部传递参数进来

传递方式格式:

C2:i2cs_rx

   generic map(

timeperiod=>2000

)

     port map(

      CLB => CLB,

      SCL => SCL,

      SDA => SDA

           );

这样就能更好的将.vhd程序模块化,重复利用率变高。

natural:自然数>=0;是integer的子类型

postive:正整数>0;   是interger的子类型

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

当前最流行的硬件设计语言有两种,即 VHDL 与 Verilog HDL,两者各有优劣,也各有相当多的拥护者。VHDL 语言由美国军方所推出,最早通过国际电机工程师学会(IEEE)的标准,在北美及欧洲应用非常普遍。而 V...

关键字: vhdl verilog

    串行总线和并行总线相比具有结构简单、占用引脚少、成本低的优点。常见的串行总线有USB、IEEE1394、I2C等,其中I2C总线具有使用简单的特点,在单片机、串行E2P

关键字: vhdl i2c 串行总线

  目前,许多厂商都提供通用的串行通信芯片,其传输方式分为同步方式和异步方式。其中,异步芯片大多与INTEL的8250芯片兼容;而同步方式,由于一般涉及到所支持的传输协议(BSC、HDLC、SD

关键字: FPGA cpld vhdl 通信芯片

当今汽车行业所面临的挑战与电信行业十多年前所经历的类似。混合动力电动汽车和燃料电池汽车等新技术也促进了研发活动的日趋活跃,正如我们在手机演变成多媒体设备的进程中所看到的一样。同样,电信业面临着功

关键字: vhdl 仿真 系统设计

0 引言 VHDL超高速集成电路硬件描述语言是随着集成电路系统化和高度集成化逐步发展起来的,是一种用于数字系统设计、测试,面向多领域、多层次的IEEE标准硬件描述语言。它从20世纪70年

关键字: EDA vhdl 医疗电子

在使用lattice domiand时,出现了下面示图现象,一个输入信号总是出现在unconnected栏里,也即意味着你不能绑定管脚。logical net 'clkin' has no load.

关键字: lattice vhdl

一个VHDL程序代码包含实体(entity)、结构体(architecture)、配置(configuration)、程序包(package)、库(library)等。一、数据类型1.用户自定义数据类

关键字: vhdl

摘 要: 用VHDL语言设计的增量式旋转编码器接口电路,实现了四倍频、双向计数的功能以及与单片机的接口。给出了在MAX Plus II环境下的VHDL源代码和时序仿真结果。本设计在

关键字: vhdl 总线与接口 接口 电路 编码器
关闭
关闭