当前位置:首页 > 芯闻号 > 新闻速递
[导读]回顾2021年,英特尔推出了哪些创新技术?

2021年,英特尔凭借在软件、芯片和平台、制程和封装以及大规模全球制造商的独特优势,推动异构计算,并通过四大超级技术力量——无所不在的计算、从云到边缘的基础设施、无处不在的连接、人工智能,驱动数字化未来。英特尔正持续释放硅的神奇力量,为数字世界构筑基石。

2021年3月,英特尔CEO帕特·基辛格(Pat Gelsinger)宣布IDM 2.0战略。作为英特尔的制胜法宝,IDM 2.0由三个关键部分组成:第一,英特尔面向大规模制造的全球化内部工厂;第二,外部代工厂;第三,英特尔代工服务(IFS)。IDM 2.0战略将持续驱动英特尔的技术和产品领导力。

2021年4月,英特尔发布全新数据中心平台。全新第三代英特尔®至强®可扩展处理器(代号Ice Lake)将在数据中心、云、5G和智能边缘等领域,为行业客户提供强大性能与工作负载优化,进一步加快对人工智能、数据分析、高性能计算等多种复杂工作负载的开发和部署,充分赋能行业数字化转型,为数字经济腾飞提供强劲动力。

2021年6月,在COMPUTEX 2021上,英特尔CEO帕特·基辛格(Pat Gelsinger)宣布,英特尔正在与供应商合作,在越南工厂完成芯片基板的生产。预计2021年将增产数百万个基板,以灵活应对市场变化。此外,在Six Five峰会上,英特尔还推出了全新基础设施处理器(IPU),旨在应对当下复杂的数据中心,并提升效率。利用IPU,客户能够部署安全稳定且可编程的解决方案,从而更好地利用资源,平衡数据处理与存储的工作负载。

2021年7月,在“英特尔加速创新:制程工艺和封装技术”线上发布会中,英特尔发布了一系列关于制程和封装技术的重磅内容。首先是在制程工艺和封装技术的重大革新,包括全新晶体管架构RibbonFET、业界首个全新的背面电能传输网络PowerVia以及下一代Foveros技术——Foveros Omni和Foveros Direct。其次,英特尔正加快制程工艺创新路线图,以确保到2021年制程性能再度领先业界,并更新节点命名体系,包括Intel 7(此前称之为Enhanced SuperFin)、Intel 4(此前称之为7纳米)、Intel 3、Intel 20A,帮助客户和行业对制程节点演进建立更准确的认知。最后,英特尔还公布了英特尔代工服务(IFS)的最新进展,AWS成为首个使用英特尔代工服务(IFS)封装解决方案的客户,高通也将与英特尔合作,采用Intel 20A制程工艺技术。

2021年8月,英特尔公布了全新高性能显卡产品品牌——英特尔锐炫™(Intel®Arc™)。在英特尔2021架构日上,英特尔还公布了近几年来架构的重大改变和创新,面向CPU、GPU和IPU。第一是架构上的创新,英特尔公布了两款全新x86内核,能效核(Efficient Core)和性能核(Performance Core);第二是客户端上的创新,包括英特尔首款高性能混合架构Alder Lake客户端SoC、英特尔开发的独特调度技术——英特尔®硬件线程调度器以及英特尔全新的独立显卡微架构——Xe HPG;第三是数据中心上的创新,包括下一代英特尔至强可扩展处理器(代号为“Sapphire Rapids”),其代表了业界在数据中心平台上的一大进步;Ponte Vecchio是英特尔迄今为止最复杂的SoC,也是英特尔践行IDM 2.0战略的绝佳示例。

这些新架构将为即将推出的高性能产品注入动力,并为英特尔的下一个创新时代奠定基础,以满足世界对高计算能力日益增长的需求。

2021年9月,英特尔推出第二代神经拟态研究芯片Loihi 2以及用于开发神经启发应用的开源软件框架Lava,标志着英特尔在先进神经拟态技术上不断取得进展。

2021年10月,在英特尔On技术创新峰会上,英特尔面向开发者隆重推出全新产品、技术和工具,同时也揭开了第12代英特尔®酷睿™处理器产品家族的神秘面纱。这次的发布包括一个升级、统一以及更加全面的开发者专区(Developer Zone);第12代英特尔®酷睿™处理器闪亮登场,为每一个PC细分市场以及边缘计算设备提供卓越的计算性能;“极光”(Aurora)超级计算机将搭载下一代英特尔至强可扩展处理器(代号为“Sapphire Rapids”)和英特尔下一代GPU(代号为“Ponte Vecchio”),提供每秒超过两百亿亿次的双精度峰值计算性能。

2021年11月,英特尔宣布与中科院计算所共同建立中国首个oneAPI卓越中心,以扩大oneAPI对中国本土国产硬件的支持及使用oneAPI来开发全栈式开源软件。

2021年12月,英特尔在IEDM 2021上公布了在封装、晶体管和量子物理学方面的关键技术突破,包括通过混合键合(Hybrid Bonding)将在封装中的互连密度提升10倍以上;通过堆叠多个(CMOS)晶体管,实现高达30%至50%的逻辑微缩提升;在300毫米的晶圆上首次集成氮化镓基(GaN-based)电源交换机与硅基CMOS;英特尔全球首例常温磁电自旋轨道(MESO)逻辑器件。另外,英特尔式发布了oneAPI 2022工具包,该工具包拥有超过900项新功能和特性,扩展了跨架构开发的特性,为开发者提供更强的实用性和更丰富的架构选择。新功能包括第一款能执行C++、SYCL和Fortran的统一编译器,用于CPU和GPU的Data Parallel Python,先进的加速器性能建模和调试,以及用于AI和光线追踪可视化工作负载的性能加速。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

近日,英特尔在深圳举办以“智绘混合AI新篇, 赋能生成式 AI 无处不在 -- OpenVINO™ 2024 焕新启航”为主题的OpenVINO™ DevCon中国系列工作坊2024活动,此次活动汇聚了英特尔产品专家、行...

关键字: AI 英特尔 AIGC大模型

近日外媒引述消息人士报道称,中国推出采购需求标准,或意味着中国将禁止政府电脑使用英特尔和超微半导体(AMD)CPU(处理器)芯片,截止目前英特尔和AMD尚未就此消息做出回应。

关键字: 英特尔 AMD 芯片

3月25日消息,据媒体报道,英伟达最快将从9月开始大量购买12层HBM3E内存,这些内存将由三星电子独家供货。

关键字: SK海力士 英特尔

美国总统拜登日前宣布,随着美国政府加大力度将芯片制造片转移到本土,英特尔已经通过《芯片法案》获得高达85亿美元的资助。此外,该公司可以通过这一法案获得额外的110亿美元贷款。

关键字: 英特尔 芯片 半导体

近日两名知情人士称,美国芯片巨头英特尔暂时保住了向华为的销售许可,使这家全球最大的芯片制造商一段时间内可以继续向华为出售价值数亿美元的芯片。另一家美国芯片巨头 AMD(超威半导体)申请的许可一直没得到回应,这使 AMD...

关键字: 英特尔 华为 芯片 AMD

业内消息,日前美国国会表示,计划对英特尔补贴35亿美元,以生产军用先进半导体,该预算编列在当地时间3月6日通过的一项快速支出法案中。去年11月,有报道称英特尔成为有望获得美国政府数十亿美元安全设施资金的主要竞争者,该项目...

关键字: 英特尔 芯片补贴

为了能够从台积电手中重新夺回芯片制造技术的领先地位,英特尔不惜血本抢购了售价高达3.5亿欧元的ASML最新一代High-NA EUV设备。为了见证“史上最贵”,英特尔分享了其位于美国俄勒冈州的D1X工厂内、ASML工程团...

关键字: ASML 英特尔

在美国战略中,控制AI、夺回芯片霸权已经成为国策,英特尔成为国策的重要一环。

关键字: 英特尔 晶圆代工 AI 芯片

在当地时间2月21日举办的Foundry Direct Connect活动上,英特尔CEO 帕特·格尔辛格(Pat Gelsinger)介绍公司晶圆代工部门Intel Foundry的业务愿景,并透露了该公司技术路线图,...

关键字: 英特尔 晶圆代工 AI 芯片

2月22日消息,提到芯片代工,大家可能会首先想到台积电,毕竟很多芯片都是由其代工,事实上除了台积电拥有先进的制程工艺外,英特尔也有,而且并不落后于台积电。

关键字: 英特尔 AMD
关闭