当前位置:首页 > 公众号精选 > 电子电路开发学习
[导读]前几天和同事聊天,他说他上初中的儿子做出了一道很难的数学题,想考考我们这些大学生看能不能做得出来?


前几天和同事聊天,他说他上初中的儿子做出了一道很难的数学题,想考考我们这些大学生看能不能做得出来?

题目很简单:

数学题目

大家先尝试做一下?我没想出怎么算的,只是用排除法确定了a和b的范围,然后再逐个尝试。

1.对4361进行开方计算,得到结果最大为66,则a,b的值均小于等于66。

2.对4361/2进行开方计算,则得到结果为46,则a,b两者,一个是1-46,一个是46-66之间的数。

3.由平方和4361末尾为1,再根据整数平方和的几种可能,计算出仅有0+1和5+6这两种可能,而且平方之后的个位数为0/1/5/6,这样就进一步缩小了范围,通过多次计算尝试可以得出结果。

不过我懒得算了,就简单写了个C语言程序,计算出了结果:

#include  #include  #include  int main(void) { int num; int a, b, n; int result; int sqr; printf("please enter a number:");//4361 scanf("%d", &num); printf("input num: %d\n", num);

    sqr = sqrt(num); for(a = 1; a <= sqr; a++) //可以设置1-46 { for(b = 1; b <= sqr; b++) //可以设置46-66 {
            result = pow(a, 2) + pow(b, 2); if(result == num)
            { printf("a = %2d, b = %2d, a + b = %d\n", a, b, a+b);
                n++;
            }
        }
    } if(n == 0) printf("There is no answer!\n"); return 0;
}

其实可以设置一个数的循环范围是:1-46,一个数的循环范围是46-66,这样会减少循环次数。

运行结果:

运行结果

而且这种方式还适用于解的个数不唯一的情况,比如7605:

运行结果

作为一个野生FPGA开发者,我在想能不能用FPGA的编程思想来实现呢?也就是如何用Verilog来实现两个循环的嵌套呢?抄起键盘就是干!

抄起键盘就是干

verilog源文件fpga_math.v:

module fpga_math( //inputs input clk,
    input rst_n, //outputs output reg [13:0] a, b,
    output reg [14:0] result,
    output ok
);

parameter SUM = 4361;
parameter SQR = 67; //sqrt(SUM); reg [13:0] tmp_a;
reg [13:0] tmp_b;
reg flag;

assign ok = (tmp_a*tmp_a + tmp_b*tmp_b == SUM);

always @ (posedge clk) begin if(!rst_n) tmp_b <= 0; else if(tmp_b == SQR)
        tmp_b <= 0; else if(tmp_a != SQR)
        tmp_b <= tmp_b + 1;
end

always @ (posedge clk) begin if(!rst_n) flag <= 0; else if(tmp_b == SQR)
        flag <= 1; else flag <= 0;
end

always @ (posedge clk) begin if(!rst_n) tmp_a <= 0; else if((tmp_a != SQR) & flag)
        tmp_a <= tmp_a + 1;
end

always @ (posedge clk) begin if(!rst_n) begin
        a <= 0;
        b <= 0;
        result <= 0; end else if(ok) begin
        a <= tmp_a;
        b <= tmp_b; result = tmp_a + tmp_b; end end endmodule

为了验证这个模块的正确性,我们需要对这个模块进行仿真,即给一个激励输入信号,看输出是否正确。

新建testbench文件fpga_math_tb.v:

`timescale 1ns/100ps module fpga_math_tb;

parameter SUM = 4361;
parameter SQR = 67; //sqrt(4361) parameter SYSCLK_PERIOD = 10;// 100MHZ wire [13:0] a, b;
wire [14:0] result;

reg SYSCLK;
reg NSYSRESET;

initial
begin
    SYSCLK = 1'b0;
    NSYSRESET = 1'b0;

    #(SYSCLK_PERIOD * 10 )
        NSYSRESET = 1'b1;
    #(SYSCLK_PERIOD * (SQR*SQR+500) )
        $stop;
end /*generate clock*/ always @(SYSCLK)
    #(SYSCLK_PERIOD / 2.0) SYSCLK <= !SYSCLK; /*instance module*/ fpga_math #(
    .SUM(SUM),
    .SQR(SQR)
)fpga_math_0( //inputs .clk(SYSCLK),
    .rst_n(NSYSRESET), //outputs .a(a),
    .b(b),
    .result(result),
    .ok(ok)
);

endmodule

ModelSim仿真波形:

仿真波形

仿真工具除了使用各大FPGA厂商IDE带的ModelSim等,也可以使用小巧开源的全平台仿真工具:iverilog+gtkwave,使用方法可以参考:

全平台轻量开源verilog仿真工具iverilog+GTKWave使用教程

如果使用iverilog进行仿真,需要在TB文件中添加以下几行语句:

/*iverilog */
initial
begin            
    $dumpfile("wave.vcd");        //生成的vcd文件名称
    $dumpvars(0, fpga_math_tb);   //tb模块名称
end
/*iverilog *

首先对Verilog源文件进行编译,检查是否有语法错误,这会在当前目录生成wave目标文件:

iverilog -o wave *.v

然后通过vvp指令,产生仿真的wave.vcd波形文件:

vvp -n wave -lxt2

使用gtkwave打开波形文件:

gtkwave wave.vcd

当然以上命令也可以写成批处理文件:

echo "开始编译" iverilog -o wave *.v echo "编译完成" echo "生成波形文件" vvp -n wave -lxt2 echo "打开波形文件" gtkwave wave.vcd

以文本方式存储为build.bat文件即可,双击即可自动完成编译、生成波形文件、打开波形文件操作。

仿真波形:

仿真波形

可以看出,和使用ModelSim仿真是一样的结果。

总结

从仿真波形图中,可以得到计算的结果,a+b的值为91,如果要在真实的FPGA芯片硬件上实现,还需要添加其他功能模块,把结果通过串口输出,或者在数码管等显示屏上进行显示,这里只是简单介绍使用FPGA计算方法的实现。作为纯数字电路的FPGA,实现平方根是比较复杂的,这里采用直接人为输入平方根结果的方式,而不是像C语言那样调用sqrt函数自动计算平方根。FPGA中不仅有触发器和查找表,而且还有乘法器、除法器等硬核IP,所以在涉及到乘除法、平方根运算时,不要直接使用*/等运算符,而是要使用FPGA自带的IP核,这样就不会占用大量的逻辑资源,像Xilinx的基于Cordic算法的Cordic IP核,不仅能实现平方根计算,而且还有sin/cos/tan/arctan等三角函数。


免责声明:本文内容由21ic获得授权后发布,版权归原作者所有,本平台仅提供信息存储服务。文章仅代表作者个人观点,不代表本平台立场,如有问题,请联系我们,谢谢!

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC

关键字: RISC-V处理器 FPGA SoC

为无处不在的端侧设备插上AI的翅膀,AMD发布第二代Versal™ 自适应 SoC

关键字: AMD FPGA 自适应SoC AI 边缘计算

Pmod接口可以说是数字电路板的连接革命。随着科技的飞速发展,数字电路板间的通信与连接技术也在不断创新和进步。Pmod接口,作为一种新兴的数字接口标准,正逐渐成为数字电路板间通信的桥梁,为电子设备的连接和通信带来了革命性...

关键字: pmod接口 FPGA 数字电路板

近日举办的GTC大会把人工智能/机器学习(AI/ML)领域中的算力比拼又带到了一个新的高度,这不只是说明了通用图形处理器(GPGPU)时代的来临,而是包括GPU、FPGA和NPU等一众数据处理加速器时代的来临,就像GPU...

关键字: FPGA AI 图形处理器

当我们提到成本优化型FPGA,往往与简化逻辑资源、有限I/O和较低制造工艺联系在一起。诚然,在成本受限的系统设计中,对于价格、功耗和尺寸的要求更为敏感;但随着一系列创新应用的发展、随着边缘AI的深化,成本优化型FPGA也...

关键字: AMD FPGA Spartan 边缘计算

全球领先的高性能现场可编程门阵列(FPGA)和嵌入式FPGA(eFPGA)半导体知识产权(IP)提供商Achronix Semiconductor公司宣布,该公司参加了由私募股权和风险投资公司Baird Capital举...

关键字: FPGA 智能汽车 eFPGA

全新 FPGA 能为嵌入式视觉、医疗、工业互联、机器人与视频应用提供高数量 I/O、功率效率以及卓越的安全功能

关键字: FPGA 嵌入式视觉 机器人

Altera致力于为客户提供端到端的FPGA、易于使用的AI、软件和弹性供应链。

关键字: FPGA AI

在半导体领域,大部分对于AI的关注都集中在GPU或专用AI加速器芯片(如NPU和TPU)上。但事实证明,有相当多的组件可以直接影响甚至运行AI工作负载。FPGA就是其中之一。

关键字: FPGA AI 半导体

半导体产品老化是一个自然现象,在电子应用中,基于环境、自然等因素,半导体在经过一段时间连续工作之后,其功能会逐渐丧失,这被称为功能失效。半导体功能失效主要包括:腐蚀、载流子注入、电迁移等。其中,电迁移引发的失效机理最为突...

关键字: 半导体 电迁移 FPGA
关闭
关闭