当前位置:首页 > 公众号精选 > 电子电路开发学习
[导读].FPGA也能在线升级?以最常用的Xilinx和Altera公司的FPGA为例,这两家公司的FPGA固件通常保存在外部的一片SPIFlash芯片中,常规的下载方式是使用专用的下载器,如Xilinx的PlatfomCableUSB或者USBBlaster,通过JTAG将程序文件下载...

FPGA实现流水灯,程序下载与擦除,IDE安装与卸载,非著名公司攻城狮,bug制造者。分享开源项目、板卡评测、学习笔记相关文章。可能不会经常更新,但每一篇都是精心编写。" data-from="0">

. FPGA也能在线升级?

以最常用的Xilinx和Altera公司的FPGA为例,这两家公司的FPGA固件通常保存在外部的一片SPI Flash芯片中,常规的下载方式是使用专用的下载器,如Xilinx的Platfom Cable USB或者USB Blaster,通过JTAG将程序文件下载到外部的Flash芯片中,程序固化的过程本质上是对片外的Flash芯片进行固件更新。由此我们可以知道,当FPGA产品量产,需要大批量进行程序烧写时,只需要使用SPI Flash烧写器通过烧录座对Flash芯片进行烧写,烧写完成之后再焊接到板子上。

ZYNQ
本文介绍如何不通过JTAG口不使用专用下载器,仅仅通过普通管脚来进行固件更新,分享实现思路和设计方法,暂时还没有示例工程。

以Xilinx FPGA通过串口进行固件升级为例,所需要的软硬件为:

  • FPGA板卡(含外部SPI Flash),如Spartan-6系列XC6SLX9 FPGA M25P16 SPI Flash

  • 专用的PC串口上位机,也可以使用通用的串口助手,自定义的串口助手会增强通讯的稳定性和可靠性

  • USB-TTL串口模块,用于FPGA和PC进行通讯

  • 专用的FPGA固件代码

整体大致流程为:FPGA内部串口解析模块接收上位机发来的固件程序,写入内部FIFO或RAM中进行缓存,然后通过SPI Flash控制器将缓存的数据写入外部的SPI Flash芯片中,简单的说FPGA就是充当了一个SPI Flash编程器的角色,完成UART-SPI协议的转换。

当然这里的串口也可以是其他接口,如USB、网口、SPI等等,比如通过网络调试助手更新固件,通过USB口更新固件,通过外部的单片机进行更新固件,通过读取SD卡文件进行更新固件等等,实现原理都是一样的!

串口在线升级原理

. FPGA程序文件结构

在进行设计之前,我们需要先了解一下FPGA的程序文件格式,以Xilinx FPGA程序文件为例,主要有两种文件格式:Bit文件和Mcs文件。

前者Bit文件通常用于调试,Bit文件会下载到FPGA芯片内部RAM空间,掉电程序会丢失,因为FPGA开发阶段需要频繁的进行程序的擦除烧写操作,这样的好处是程序下载速度非常快,不会消耗Flash芯片的寿命。

后者Mcs文件通常用于最后的程序发布,产品量产时使用,它可以由Bit文件文件生成,在生成过程中需要指定外部SPI Flash的存储大小。

关于这两种文件格式的介绍可以查看以下文章:

  • Xilinx Bit文件格式详解

  • Xilinx MCS(HEX)文件格式详解

Mcs文件其实本质上就是Hex文件,将后缀名改为hex后,可以通过文本编辑器进行查看,由Hex文件结构,我们只需要图中的黑色的数据部分,然后把这些数据按顺序发送给FPGA即可。

Hex文件

. 通讯协议设计

为了保证升级的稳定性,我们不使用通用的串口调试助手,通过自定义通讯协议和串口上位机,可以提高升级的可靠性。

串口通讯波特率通常为几百KBps,而SPI控制器读写频率通常为几十MHz,为了能将数据完整有序的接收、保存、写入,我们需要先将串口接收的数据存储到FPGA内部的FIFO中,作为缓存和跨时钟域处理。

串口上位机解析出Mcs文件中的数据部分,启动传输前,先发启动命令,然后发送数据帧,最后发送结束命令。

数据帧包括:帧头 256字节数据 CRC校验值。FPGA接收到数据之后,对数据进行校验,校验正确回复给上位机一个正确响应信号,校验错误回复错误响应信号,串口上位机接收到正确的响应信号后,继续发下一个数据帧,接收到错误的响应信号后,重复发上一帧数据,直到所有的数据发送完成,最后发送一个结束命令。这样就简单定义了一个发送-响应机制的通讯协议。

. SPI芯片数据写入

SPI数据的写入有两种方式:

  1. 每接收到一帧数据之后,对SPI执行一次写256字节操作

  2. 所有的数据接收完成后,一次性将数据写入外部的SPI Flash芯片。

方式1不需要开辟较大的FIFO空间对资源占用小,但是如果出现一些异常操作,如升级过程中出现异常操作,就会导致SPI Flash数据紊乱,固件升级失败,而且导致原始的固件被损坏,导致死机现象。

方式2需要开辟较大的FIFO空间,通常升级文件大小为几百KB,会占用较多资源,如果数据未接收完成出现异常,也不会对原始的SPI芯片内的固件造成损坏,不会导致死机情况。但是这样也会有一个小问题,就是在数据接收完成后,写SPI Flash期间,需要保持硬件稳定,不能干扰数据写入过程,如出现断电情况。

. SPI芯片擦除和校验

同理,为了保证程序能正常运行,在数据接收完成后,数据写入前,我们需要对SPI芯片执行全片擦除指令,这个擦除时间一般会比较长,通常和存储大小相关,擦除完成之后,再执行写入,写入完成之后,如果有必要,可以对指定存储区间进行数据回读,和接收到的数据进行比较,从而达到校验的目的。

. 总结

升级模块可以独立于应用逻辑,作为一个单独的功能,不会影响正常的代码逻辑。本文只是提供一个大致实现思路,如果要是做得非常完美,工作量还是很大的。当然串口只是一种升级方式,还可以通过SD卡升级,网口升级,USB升级,WiFi升级,外部控制器(如STM32)对FPGA进行程序更新等等。

FPGA固件升级

. 更多

  • 如何写出易于维护的Verilog代码

  • FPGA能进行断点调试吗?

  • 基于STM32的USB BlasterFPGA下载器

  • 低成本、开源的Xilinx HS-2下载器

  • 一文看懂I2C协议

  • 一文看懂SPI协议

  • 一文看懂Modbus协议

  • 串口通讯协议及其FPGA实现

  • 单工、半双工、全双工、异步和同步的区别

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC

关键字: RISC-V处理器 FPGA SoC

为无处不在的端侧设备插上AI的翅膀,AMD发布第二代Versal™ 自适应 SoC

关键字: AMD FPGA 自适应SoC AI 边缘计算

Pmod接口可以说是数字电路板的连接革命。随着科技的飞速发展,数字电路板间的通信与连接技术也在不断创新和进步。Pmod接口,作为一种新兴的数字接口标准,正逐渐成为数字电路板间通信的桥梁,为电子设备的连接和通信带来了革命性...

关键字: pmod接口 FPGA 数字电路板

近日举办的GTC大会把人工智能/机器学习(AI/ML)领域中的算力比拼又带到了一个新的高度,这不只是说明了通用图形处理器(GPGPU)时代的来临,而是包括GPU、FPGA和NPU等一众数据处理加速器时代的来临,就像GPU...

关键字: FPGA AI 图形处理器

当我们提到成本优化型FPGA,往往与简化逻辑资源、有限I/O和较低制造工艺联系在一起。诚然,在成本受限的系统设计中,对于价格、功耗和尺寸的要求更为敏感;但随着一系列创新应用的发展、随着边缘AI的深化,成本优化型FPGA也...

关键字: AMD FPGA Spartan 边缘计算

全球领先的高性能现场可编程门阵列(FPGA)和嵌入式FPGA(eFPGA)半导体知识产权(IP)提供商Achronix Semiconductor公司宣布,该公司参加了由私募股权和风险投资公司Baird Capital举...

关键字: FPGA 智能汽车 eFPGA

全新 FPGA 能为嵌入式视觉、医疗、工业互联、机器人与视频应用提供高数量 I/O、功率效率以及卓越的安全功能

关键字: FPGA 嵌入式视觉 机器人

Altera致力于为客户提供端到端的FPGA、易于使用的AI、软件和弹性供应链。

关键字: FPGA AI

在半导体领域,大部分对于AI的关注都集中在GPU或专用AI加速器芯片(如NPU和TPU)上。但事实证明,有相当多的组件可以直接影响甚至运行AI工作负载。FPGA就是其中之一。

关键字: FPGA AI 半导体

半导体产品老化是一个自然现象,在电子应用中,基于环境、自然等因素,半导体在经过一段时间连续工作之后,其功能会逐渐丧失,这被称为功能失效。半导体功能失效主要包括:腐蚀、载流子注入、电迁移等。其中,电迁移引发的失效机理最为突...

关键字: 半导体 电迁移 FPGA
关闭
关闭