当前位置:首页 > 嵌入式 > 嵌入式硬件
[导读]由于这4个时钟频率都有一定的倍数关系,所以我们也很容易通过调整合理的计数器位宽,达到4个LED闪烁一致的控制。

如图8.17所示,本实例将用到FPGA内部的PLL资源,输入FPGA引脚上的25MHz时钟,配置PLL使其输出4路分别为12.5MHz、25MHz、50MHz和100MHz的时钟信号,这4路时钟信号又分别驱动4个不同位宽的计数器不停的计数工作,这些计数器的最高位最终输出用于控制4个不同的LED亮灭。由于这4个时钟频率都有一定的倍数关系,所以我们也很容易通过调整合理的计数器位宽,达到4个LED闪烁一致的控制。

cy4.v模块代码解析

先来看cy4.v模块的代码,它是工程的顶层模块,主要做接口定义和模块例化,一般不会在这个模块中做任何的具体逻辑设计。

首先是接口部分,只有时钟、复位和8个LED信号。

module cy4(

input ext_clk_25m, //外部输入25MHz时钟信号

input ext_rst_n, //外部输入复位信号,低电平有效

output[7:0] led //8个LED指示灯接口

);

接着这里申明5个wire类型的信号,所有在不同模块间接口的信号,在它们的上级模块中都必须定义为wire类型,这里有4个不同频率的时钟以及由PLL的lock信号引出的复位信号sys_rst_n。

wire clk_12m5; //PLL输出12.5MHz时钟

wire clk_25m; //PLL输出25MHz时钟

wire clk_50m; //PLL输出50MHz时钟

wire clk_100m; //PLL输出100MHz时钟

wire sys_rst_n; //PLL输出的locked信号,作为FPGA内部的复位信号,低电平复位,高电平正常工作

PLL是我们配置的IP核模块,它需要在我们的代码中例化,如下所示。

//-------------------------------------

//PLL例化

pll_controller pll_controller_inst (

.areset ( !ext_rst_n ),

.inclk0 ( ext_clk_25m ),

.c0 ( clk_12m5 ),

.c1 ( clk_25m ),

.c2 ( clk_50m ),

.c3 ( clk_100m ),

.locked ( sys_rst_n )

);

最后4个LED闪烁控制模块的例化,它们的源码都是led_controller.v模块,但它们的名称不一样,分别为uut_led_controller_clk12m5、uut_led_controller_clk25m、uut_led_controller_clk50m、uut_led_controller_clk100m。这样的定义方式最终实现效果不同于软件的函数调用,软件的函数调用只有一个函数,分时复用;而FPGA的这种代码例化却会实现4个完全一样的硬件逻辑。当然了,这4个模块还略有不同,就是两个名称中间的“#(n)”,n有23、24、25和26,这个是输入到led_controller.v模块的一个参数,大家别急,后面我们马上就会提到它。

//-------------------------------------

//12.5MHz时钟进行分频闪烁,计数器为23位

led_controller #(23) uut_led_controller_clk12m5(

.clk(clk_12m5), //时钟信号

.rst_n(sys_rst_n), //复位信号,低电平有效

.sled(led[0]) //LED指示灯接口

);

//-------------------------------------

//25MHz时钟进行分频闪烁,计数器为24位

led_controller #(24) uut_led_controller_clk25m(

.clk(clk_25m), //时钟信号

.rst_n(sys_rst_n), //复位信号,低电平有效

.sled(led[1]) //LED指示灯接口

);

//-------------------------------------

//25MHz时钟进行分频闪烁,计数器为25位

led_controller #(25) uut_led_controller_clk50m(

.clk(clk_50m), //时钟信号

.rst_n(sys_rst_n), //复位信号,低电平有效

.sled(led[2]) //LED指示灯接口

);

//-------------------------------------

//25MHz时钟进行分频闪烁,计数器为26位

led_controller #(26) uut_led_controller_clk100m(

.clk(clk_100m), //时钟信号

.rst_n(sys_rst_n), //复位信号,低电平有效

.sled(led[3]) //LED指示灯接口

);

//-------------------------------------

//高4位LED指示灯关闭

assign led[7:4] = 4‘b1111;

endmodule

led_controller.v模块代码解析

led_controller.v模块代码如下,这里重点注意我们上面刚刚提到的输入参数。在代码中,有“parameter CNT_HIGH = 24;”这样的定义,若是例化这个模块的上层接口中不定义“#(n)”,则表示“parameter CNT_HIGH = 24;”语句生效,若是定义的“#(n)”中的n值与代码中定义的24不同,那么以n为最终值。

module led_controller(

input clk, //时钟信号

input rst_n, //复位信号,低电平有效

output sled //LED指示灯接口

);

parameter CNT_HIGH = 24; //计数器最高位

//-------------------------------------

reg[(CNT_HIGH-1):0] cnt; //24位计数器

//cnt计数器进行循环计数

always @ (posedge clk or negedge rst_n)

if(!rst_n) cnt <= 0;

else cnt <= cnt+1‘b1;

assign sled = cnt[CNT_HIGH-1];

endmodule

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

为增进大家对LED显示屏的认识,本文将对节能LED显示屏的设计予以介绍。

关键字: LED 指数 显示屏

为增进大家对LED显示屏的认识,本文将对LED显示屏的部件组成、LED显示屏的选型技巧予以介绍。

关键字: LED 指数 显示屏

LED显示屏的身影。为增进大家对LED显示屏的认识,本文将对LED灯珠对LED显示屏的影响予以介绍。

关键字: LED 指数 显示屏

LED显示屏将是下述内容的主要介绍对象,通过这篇文章,小编希望大家可以对它的相关情况以及信息有所认识和了解,详细内容如下。

关键字: LED 显示屏

今天,小编将在这篇文章中为大家带来led显示屏的有关报道,通过阅读这篇文章,大家可以对它具备清晰的认识,主要内容如下。

关键字: LED 显示屏 LED显示屏

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC

关键字: RISC-V处理器 FPGA SoC

LED(Light Emitting Diode)与LCD(Liquid Crystal Display)是当今显示技术领域的两大重要分支,各自凭借独特的优势在消费电子、广告传媒、工业控制、家用电器等多个领域占据着主导地...

关键字: LED LCD

作为温度依赖性低、广角发射且光线均匀的光源,有助于汽车驾驶辅助技术提升

关键字: VCSEL LED 红外光源

在嵌入式系统开发、调试和测试过程中,J-Link作为一种高效的调试工具,为开发者提供了极大的便利。然而,要想充分发挥J-Link的功能,首先需要正确安装其驱动程序。本文将详细介绍J-Link驱动的安装过程,并深入解析其中...

关键字: jlink 嵌入式系统 嵌入式开发

爱德万测试集团 (公司总部:东京都千代田区、代表董事:Douglas Lefever、以下简称为“爱德万测试”) 与东丽工程株式会社 (总公司:东京都中央区、代表董事总经理:岩出卓、以下简称为“东丽工程”) 此番宣布,签...

关键字: LED 显示屏
关闭
关闭