当前位置:首页 > 模拟 > 模拟技术
[导读]DISCO还公布了之前未被披露过的2021财年的全年预测。预计全年销售额将同比增长32.9%,达到2431亿日元(约人民币134.17亿元),营业利润将增长56.9%,达到833亿日元(约人民币45.98亿元),纯利润将增长54.0%,达到602亿日元(约人民币33.23亿元)。

2022年1月25日,DISCO公布了2021财年第三季度(2021年4~12月累计)的财务业绩。得益于半导体需求强劲,半导体制造商增加设备投资的拉动,DISCO销售额同比增长41.3%,达到1802.69亿日元(约人民币99.50亿元),营业利润同比增长72.5%,达到632.50亿日元(约人民币34.91亿元),纯利润同比增长77.6%,达到455.09亿日元(约人民币25.12亿元)。DISCO表示:4~12月期间的业绩达到了历史新高。

DISCO还公布了之前未被披露过的2021财年的全年预测。预计全年销售额将同比增长32.9%,达到2431亿日元(约人民币134.17亿元),营业利润将增长56.9%,达到833亿日元(约人民币45.98亿元),纯利润将增长54.0%,达到602亿日元(约人民币33.23亿元)。

DISCO对这一期的市场状况进行了说明:由于半导体的需求强劲,包括5G和汽车领域,以及在全球去碳化趋势的影响下,半导体制造商对设备投资意愿强烈。不限地域,包括欧美在内,全球范围内整体都有强烈的设备投资意愿,因此对DISCO的半导体制造后端工序设备需求也很强劲。

2月9日消息,据Counterpoint报道,2021年全球半导体行业总销售额创下5500亿美元的新纪录,随着芯片市场需求的增加,荷兰光刻机巨头ASML公司作为芯片制造关键设备制造商,俨然成为最大幕后赢家。EUV技术是目前先进光刻机用到的主要技术之一,ASML公司目前在这一技术领域处于垄断地位,2022年预计交付约60套EUV光刻机,实现快速出货,填补疫情下的芯片缺口。

近日,ASML公司公布了2021财年第四季度业绩,其中净销售额同比增长35%,毛利率创下历史新高,达到52.7%,其中EUV(极紫外线)光刻机销售额达到63亿欧元(约合人民币458亿元)。为应对技术节点的缩小,该公司还在大力投资High-NA(高数值孔径)光刻机,预计2023年出货5套型号为EXE:5000的High-NA光刻机。

2021年,全球半导体行业总销售额达到创纪录的5500亿美元,Counterpoint表示,由于5G、物联网、云计算、高性能计算、汽车芯片和其他领域需求的增加,预计到 2030 年,半导体行业总收入将达到 1 万亿美元。光刻技术是目前芯片生产的核心工艺,其中,EUV技术被认为是当前生产芯片的最佳工艺,,ASML公司作为光刻系统行业的领导者,能凭借对先进 EUV 技术的大量投资和在EUV技术方面的垄断地位,以及在老式光刻系统产品线中的主导地位,在未来继续获得较高市场估值。

ASML公司表示该公司已经对High-NA光刻机进行了大量投资,High-NA光刻机是EUV光刻机的进一步优化,该公司的目标是使其能够实现3nm芯片的生产。目前,该公司已经收到五个EXE:5000型号(High-NA产品型号)的订单,各光学掩模、光刻胶供应商之间正在密切协作,预计在2023年完成出货。2024年,AMSL还将推出EXE:5200型号,这是该公司下一代预计大批量生产的High-NA光刻机。此外,ASML公司称,2022年至2026年,该公司净销售额同比增长将达到20%左右,这部分的增长将主要通过High-NA光刻机的EUV设备销售来实现,到2025年,High-NA光刻机将被大规模用于DRAM芯片(Dynamic Random Access Memory)的生产。

光刻机全球龙头ASML在美国的销售额连续3年下降,与此形成鲜明对比的是,同期ASML在韩国和中国台湾地区的销量增幅高达3倍,证明美国对半导体生产基础设施的投资不足。

据ETNews报道,ASML占全球光刻机市场的84%,并独家供应先进工艺所需的EUV光刻机。引进其设备的数量会影响半导体生产能力,因此其销售额能够被用于衡量各地区对半导体设备投资情况。

财报显示,2019年到2021年,ASML在美国的收入下降了约20%。从2019年的19.8亿欧元,到2020年和2021年分别减少到16.57亿欧元和15.83亿欧元。同期,ASML在亚洲的销售额显著增长。在主要的半导体生产基地韩国,销售额从2019年的22.02亿欧元增长到2021年的62.23亿欧元,增长了近两倍。

中国台湾地区也从2019年的53.57亿欧元增长到去年的73.27亿欧元,增幅为37%。这是三星电子和SK海力士为扩大尖端微处理能力,引进了大量EUV光刻机的结果。台积电大举投资半导体设备,也推动了销售的增长。在美国禁止出口ASML核心设备EUV曝光设备的中国大陆,其年销售额也翻了一番,从2019年的13.77亿欧元增至2021年的27.4亿欧元。据分析,中国大陆购买的光刻设备比美国还多。除受管制的EUV设备外,主要引进了ArF、KrF、i线等光刻设备。

ASML在美国的销量下降证明,美国在半导体生产基础设施方面的投资到目前为止还不够。到2020年,美国缺乏投资半导体晶圆厂的意愿。据分析,这是因为英特尔宣布进入代工领域的时间较晚,以及美光曾经对EUV设备持怀疑态度。随着美国吸引本国的半导体生产基地,ASML设备的引进预计将会加速。英特尔计划到2025年采用ASML下一代曝光设备,美光也正式使用EUV设备。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

战略投资和持续进展为未来增长铺平道路

关键字: 半导体 电气化 数字化

【2024年5月13日,德国慕尼黑和斯图加特讯】随着汽车行业向软件定义汽车和新E/E架构过渡,市场对高性能硬件和强大网络安全解决方案的需求也逐渐增加。为满足这一需求,全球功率系统和物联网领域的半导体领导者英飞凌科技股份公...

关键字: 微控制器 半导体 物联网

5月9日,日本半导体制造设备商Screen Holdings公布了2023财年(2023年4月-2024年3月)财报,营收、获利均创下新纪录,预计2024年度业绩有望继续创下新高。

关键字: 半导体 传感器 人工智能 电动汽车

上海2024年5月9日 /美通社/ -- 2024年5月6日,国际公认的测试、检验和认证机构SGS通标标准技术服务有限公司(以下简称“SGS”)为上海复旦微电子集团股份有限公司(以下简称“复旦微电子集团”)颁发了ISO...

关键字: ISO 微电子 半导体 TI

5月10日消息,2023年全球十大IC设计公司出炉,英伟达依然稳稳的坐在了第一的位置。

关键字: 华为 12nm EDA 半导体

5月10日消息,对于国产半导体厂商来说,未来很长时间想要生产7nm及其以下的芯片依然是困难的。

关键字: 半导体 传感器 人工智能 电动汽车

制造过程中的改进和优化需要可量化指标的明确定义。良率、生产周期、成本、准时交货和产出——这组制造指标可为满足业务需求和客户期望提供支持。在许多情况下,生产目标可能会相互冲突。本文讨论了不同的指标,并就如何牢记客户对准时交...

关键字: 半导体 生产周期

5月9日消息,由Google DeepMind与Isomorphic Labs联合研发的新一代人工智能模型AlphaFold 3,登上了权威科学期刊Nature。

关键字: 谷歌 AI 芯片 半导体

5月8日消息,据多家国外媒体报道,美国进一步收紧了对华为的出口限制,撤销了芯片企业高通和英特尔公司向华为出售半导体的许可证。

关键字: 华为 12nm EDA 半导体

业内消息,上周德媒报道慕尼黑地区法院作出一审判决,认定三星电子在生产的移动通信设备中侵犯了由大唐拥有的4G标准必要专利。

关键字: 三星 大唐 4G 专利
关闭