当前位置:首页 > 厂商动态 > 新思科技(Synopsys)
[导读]加利福尼亚州山景城,2022年10月14日——近日,新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)宣布推出突破性的黄金签核ECO解决方案,旨在解决工程设计收敛时间过长的问题,从而提高先进电子设计效率,实现更佳功耗、性能和面积(PPA)目标。新思科技PrimeClosure解决方案将行业领先的ECO签核解决方案——新思科技PrimeECO™和新思科技Tweaker™ ECO——与多种突破性的创新技术相结合,实现更快的ECO收敛时间,同时兼顾高容量和PrimeTime®黄金签核精度。与传统的ECO流程相比,早期客户采用PrimeClosure解决方案实现了时序提高45%、功耗降低10%、ECO迭代次数减少50%、设计效率提升10倍。


为基于先进工艺的HPC、AI、汽车和移动芯片设计提供更快的设计收敛路径

加利福尼亚州山景城,2022年10月14日——近日,新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)宣布推出突破性的黄金签核ECO解决方案,旨在解决工程设计收敛时间过长的问题,从而提高先进电子设计效率,实现更佳功耗、性能和面积(PPA)目标。新思科技PrimeClosure解决方案将行业领先的ECO签核解决方案——新思科技PrimeECO™和新思科技Tweaker™ ECO——与多种突破性的创新技术相结合,实现更快的ECO收敛时间,同时兼顾高容量和PrimeTime®黄金签核精度。与传统的ECO流程相比,早期客户采用PrimeClosure解决方案实现了时序提高45%、功耗降低10%、ECO迭代次数减少50%、设计效率提升10倍。

Socionext全球开发事业群后端开发部总经理Kenta Sokawa表示:“我们专注于汽车、数据中心、网络和智能设备等领域的大型先进节点设计,因此ECO的快速周转时间对于我们实现业务成功至关重要。新思科技PrimeClosure解决方案将我们的周转时间加快了5倍以上,使用的机器内存减少了3倍,所需的机器资源减少了5倍。这些初步成果令人振奋,我们希望与新思科技开展进一步合作,携手突破传统的ECO挑战,将大型设计项目的设计收敛效率提升10倍以上。”

新思科技PrimeClosure解决方案已可供早期客户使用,预计将于2022年12月全面上市。点击链接,即可查看更多信息:https://www.synopsys.com/implementation-and-signoff/signoff/primeclosure.html。

加速设计收敛

数据中心、便携设备、汽车电子、人工智能和物联网等应用领域都对PPA提出了更高的要求。先进工艺节点的发展产生了新物理规则和影响PPA的新效应。深亚微米设计的规模和复杂性都非常巨大,因此为修复问题而进行的每一次分析和ECO优化都需要更长时间,并消耗更多的计算资源。处理大量违例并推进收敛以将其减少至零,是ECO的一个重要挑战。

新思科技PrimeClosure解决方案具备创新的针对性优化功能,能够极大地改善PPA、时序、时钟网络、压降、变异性和老化等设计指标。该解决方案与新思科技Fusion Compiler™ RTL-to-GDSII解决方案、新思科技PrimeTime静态时序分析解决方案紧密集成,能够实现黄金签核精度,从而提供完整流程以加快大型设计项目的设计收敛和上市时间。

借助其创新的千兆芯片层次化设计技术,新思科技PrimeClosure解决方案能以相对较少机器数量,针对具有十亿级规模标准单元和数百种分析场景的设计进行无缝扩展,从而实现业界领先的快速设计周转时间。它的优化剪枝技术可以有效筛选数以千计的场景和数以百计的层次化模块,减少需要优化的数据集数量,最终使设计周转时间加速超过40%,减少高达60%的内存消耗。

优化“最后一英里”先进芯片设计收敛

“最后一英里”的设计优化对于实现最佳PPA至关重要。对此,新思科技PrimeClosure解决方案可以直接使用业界领先的新思科技数字设计系列产品(Digital Design Family)中逐步启用且不断增加的布局、布线、参数提取、物理验证、等效检查和签核技术。此外,新思科技PrimeClosure解决方案集成了Ansys RedHawk-SC数字电源完整性签核解决方案,实现了突破性的自动化后期黄金签核时序ECO解决方案,可准确计算和修复高达50%的后期动态压降违例,并在不影响芯片时序的情况下极大程度地提高能效比。这种单一环境的设计收敛套件可以确保每一项变更都得到充分实现和验证,并为布局、布线和时序协同优化创造新机会,从而实现传统设计收敛流程无法达到的PPA优化效果。

新思科技数字设计事业部工程高级副总裁Jacob Avidan表示:“提高超收敛设计效率需要能够在巨大设计空间中快速且有效地优化 PPA 目标的创新解决方案。新思科技PrimeClosure产品为业界提供了一个突破性的黄金签核ECO解决方案,使开发者能够自信地找到设计收敛的最快路径,因此公司能够在更短的时间内完成更多的工作。”


本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

业内消息,近日芯片设计软件制造商Synopsys表示,将通过价值350亿美元的现金加股票交易收购EDA厂商Ansys 。该交易完成的话将是自芯片制造商博通以来科技领域最大的收购案,博通去年以690亿美元的交易收购了软件制...

关键字: 新思科技 Synopsys 收购 EDA Ansys

该AI驱动型数据分析解决方案能够挖掘未开发的、具有可操作的洞察,以提高芯片设计、制造、测试和现场部署的效率  摘要:  全面的AI驱动型数据分析解决方案可整合并利用IC设计、测试和制造流程中...

关键字: EDA SYNOPSYS 大数据分析 新思科技

(全球TMT2023年8月24日讯)新思科技(Synopsys, Inc.)宣布已经完成对PikeTec GmbH的收购。PikeTec是汽车控制单元系统软件测试和验证解决方案的领导者之一。软件定义汽车(SDVs)的出...

关键字: 新思科技 TE PI SYNOPSYS

加利福尼亚州桑尼维尔2023年8月30日 /美通社/ -- 新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布,已经完成对汽车控制单元软件测试和验证解决方案领导者PikeTec GmbH的收购。...

关键字: 自动驾驶 新思科技 TE PI

(全球TMT2023年7月28日讯)新思科技(Synopsys, Inc.)近日宣布,其搭载了Synopsys.ai全栈式AI驱动型EDA解决方案的数字和定制设计流程已经通过英特尔代工服务(IFS)的Intel 16制...

关键字: EDA Intel 新思科技 IP

基于台积公司N3E工艺的广泛IP组合能够助力AI、移动和HPC 等新兴领域实现业界领先的功耗、性能和面积(PPA) 要点:  基于台积公司N3E工艺技术的新思科技IP能够为希望降低集成风险并...

关键字: 芯片设计 新思科技 IP BSP

新思科技业界领先的EDA和IP全方位解决方案与Arm全面计算解决方案强强结合,助力生态系统应对多裸晶芯片系统设计挑战

关键字: ARM SoC 新思科技

开源可以有助于降低成本和提高开发效率,但是开源从来不等于免费。如果企业对开源使用管理不当,造成经济和声誉损失的风险极高。企业需要制定开源战略并落实安全计划,采用可信的开源管理工具,支持整个软件开发生命周期的开源治理。

关键字: 新思科技 开源软件 云计算

软件驱动世界,软件开发的要求越来越高。为了按时交付软件,开发和运维工作必须紧密协作,DevOps应运而生。此外,随着安全的重要性日益凸显,DevSecOps 成为很多企业的安全策略。当然,并不会有一个开关,可以将 Dev...

关键字: 新思科技 软件 DevOps 自动化

中国正在把发展经济的着力点放在实体经济上,加快建设网络强国、数字中国。同时,数字经济与各种产业叠加,赋予数字化力量,可以提升实体经济的产业优势,促进产业迈向高质量。对此,新思科技强调,数字赋能,安全先行。把安全贯穿在数字...

关键字: 新思科技 软件安全
关闭