当前位置:首页 > 消费电子 > 消费电子
[导读]如果现代数字系统设计还依靠手工来进行已经无法满足设计要求了。现代数字系统的设计工作通常都是在计算机上采用EDA技术完成。

什么是EDA技术

如果现代数字系统设计还依靠手工来进行已经无法满足设计要求了。现代数字系统的设计工作通常都是在计算机上采用EDA技术完成。EDA技术以计算机硬件和系统软件为基本工作平台,采用EDA通用支撑软件和应用软件包,在计算机上帮助电子设计工程师完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印刷电路板)的自动设计等。在EDA软件的支持下,设计师完成对系统功能的描述,由计算机软件进行处理得到设计结果。利用EDA设计工具,设计师可以预知设计结果,减少设计的盲目性,极大地提高设计的效率。

EDA通用支撑软件和应用软件包涉及到电路和系统、数据库、图形学、图论和拓扑逻辑、计算数学、优化理论等许多学科,EDA软件包括自动化程度,功能完善度,运行速度,操作界面,数据开放性和互换性(不同厂商的EDA软件可相互兼容)等技术指标。

EDA设计技术包括电子电路设计的各个领域:即从低频电路到高频电路、从线性电路到非线性电路、从模拟电路到数字电路、从分立电路到集成电路的全部设计过程,涉及到电子工程师进行产品开发的整个过程,以及电子产品生产的整个过程中需要由计算机提供的各种辅助工作。

9.3.2 EDA的技术的基本特征

EDA技术是采用高级语言来描述,具有系统级仿真和综合能力是EDA技术的基本特征。与这些基本特征有关的几个概念如下。

一、“自顶向下"设计方法

“自顶向下”(Top-down)的设计方法从系统级设计入手,首先进行功能方框图的划分与结构设计,然后进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在功能级进行验证。验证后用逻辑综合优化软件生成门级逻辑电路的网表,从而可设计出对应的印刷电路板或专用集成电路。“自顶向下"的设计方法可在早期发现产品结构设计中的错误,在EDA技术中被广泛采用。

在进行了最顶层次的系统设计之后,则逐级向下。在系统整体设计和验证完成之后,可能需要进行逻辑划分,在电路很大时还要将整个电路划分为若干个子模块,并对各子模块进行描述和验证。然后,进行版图设计即进行布局和布线,把标准单元功能块放置在适当位置上,完成各单元之间的连线,并锁定输入/输出管脚,最后完成系统的设计。

1. 描述

描述是指设计者将自己的设计输入到计算机中。目前有两种描述方式,原理图方式和硬件描述语言(Hardware Description Language,HDL)输入方式。

原理图输入方式是指使用设计的图形界面,将代表逻辑元件的符号连接起来。输入中可以使用单个门电路,也可以使用由门电路构成的功能块。

硬件描述语言输入方式指设计者将设计抽象化、模型化和形式化,突出数字逻辑结构和数字电路的延迟特性,输入设计的结构特性、行为特性和几何特性。硬件描述语言的突出优点是:语言的公开可利用性;设计与工艺的无关性;宽范围的描述能力;便于组织大规模系统的设计;便于设计的复用和继承等。与原理图输入设计方法相比较,硬件描述语言更适合规模日益增大的电子系统。硬件描述语言使得设计师在比较抽象的层次上描述设计的结构和内部特征,是进行逻辑综合优化的重要工具。第八章已介绍目前最常用的硬件描述语言。

以上两种方式相比,各有优缺点。原理图方式简单、直观,对比较简单的设计较适合。但如果系统比较复杂,用这种方式就比较繁琐。硬件描述语言简练、精确,设计复杂系统时更能显示其描述能力。

2. 验证

每一层次的设计完成之后,都要经过验证。只有证明正确以后,才能进入下一层次的设计。验证就是根据描述和公理证明该描述所实现的功能是否与要求的功能等效。

目前,常见的设计验证包括模型验证、仿真验证和电路验证。

模型验证的原理是,根据设计目标建立目标系统的形式化模型(也叫验证规范),再以形式化模型为基础,对数字电路的设计结果进行检验。通过模型验证,可以检验出系统的设计缺陷,也可检验出系统是否完全符合设计要求。

仿真验证实际上是一种测试技术,即用软件数据对设计结果进行测试,可以测试设计的功能以及最坏条件下设计的性能。仿真验证的重要内容是设计合理的测试输入数据(也叫测试矢量),把测试矢量作为所设计数字电路的仿真激励,通过观察仿真输出的结果(一般是系统的时序图)分析设计结果是否工作正常。仿真有功能仿真和时序仿真两种方式,功能仿真可以在用具体电路或器件实现设计之前,判断所设计的数字电路结构是否具有所要求的逻辑功能,以便纠正逻辑设计中的错误。时序仿真则是在加入电路时间参数和电气参数条件下,对所设计数字电路的逻辑功能进行仿真。这是对设计进行的全面仿真验证,可以检验映射、布局、布线和各电路在考虑延迟条件下的逻辑功能是否正确,电路工作速度能否达到设计要求。

电路验证是指FPGA、CPLD写入或ASIC器件制作好后,对器件进行实际测试,这是对设计结果的最终验证。

3. 布局布线

布局布线是指完成各模块电路在器件中的放置以及它们之间的互联,并定义器件的输入/输出管脚。这是EDA设计的一个重要方面,布局布线是否合理、有效直接关系到系统的实现。例如,在FPGA或CPLD应用中,一个系统本来可以由某个型号的器件实现,但由于布局布线不合理,可能使这个器件不能装下整个设计,或使系统的性能因此降低。

目前,有自动布线和人工布线两种方式。自动布线是指设计者定义输入/输出管脚后,由EDA设计软件根据设计电路以及设计者安排的管脚位置自动完成电路之间的互联。人工布线是指设计者进行手工布线。自动布线方式可以减轻设计者的负担,并在布线过程中进行电路优化,使最后的实现简捷、有效。但器件的利用率受一定的影响,如有些结构的器件不能做到100%的布通率。人工布线比较繁琐,但设计者可以控制设计电路的互连,在某些情况下也很有用。

ADI官网上的所有文档,就归总到“技术文章”标签栏的都有几千篇,我相信大家根据自己的需求,都下载过不同的文章来参考或学习。ADI近期根据后台大数据为大家汇总了2015年下载量前10的文章,这里搬过来给分享下~

需要以下那篇,都可以在https://ezchina.analog.com/thread/13197这里下载到哦~

1)《高速ADC的电源设计》描述了用于测量转换器AC电源抑制性能的技术,由此为转换器电源噪声灵敏度确立一个基准。文中将对一个实际电源进行的简单噪声分析,并展示如何把这些数值应用于设计当中,以验证电源是否能满足所选转换器的要求。总之,这篇文章描述的一些简单的指导方针,以便带给您一些指导,帮助您的高速转换器设计电源。还不快收藏?

PS:这是ADI整个官网下载量最高的一篇文档

2)《CMOS Switches Offer High Performance in Low Power, WidebandApplications》

这是一篇英文文档,学霸请自取~嘿嘿

3)《利用无线振动传感器实现连续可靠的过程监控》

典型数据采集方法包括安装在机器上的简单压电传感器和手持式数据采集工具等,但这些方法存在多种局限性,特别是与理想的全面检测与分析系统解决方案相比较。这篇技术文章深 入讨论这些局限性及其与理想解决方案——自治无线嵌 入式传感器——的对比。

4)《高速模数转换器精度透视》向您描述了与模数转换器本身相关的误差,并揭示了转换器内部的不精确性累积到何种程度即会导致这些误差。

对于不太清楚“如何查看一般静态ADC的不精确性误差”的筒子,这篇文章你一定要下载哈

图2. ADC的不精确性

5)《“Home” Is Where theHeart Is》“家庭监护”的概念涉及方方面面。通过高级技术、更好的支持基础设施以及医疗保健成本下降的毫无疑问的需求,生命体征监护将成为我们日 常生活中的一部分,并可能出现在我们所需要的时间和地点,融入我们的个人全天候生态系统中。ADI始终站在这一发展中市场的 前沿,开发传感器和信号调理技术解决方案,实现新一代生命体征监护。

做医疗电子的盆友应该要了解的哦~

6)《实现新一代航空电子系统》

MEMS技术已经能够为航空电子设备提供高度可靠的关键性能,可大幅降低尺寸、重量、功耗(SWAP)和 成本。

7)《精密光电二极管传感器电路优化设计》

光电二极管放大器是大多数精密光学测量系统的重要构建模块。选择正确的运算放大器很重要,是获得最佳系统性能的第一步。如何做出正确的选择?本文告诉您

我们提到了「进入21世纪之后,一方面,三家大EDA公司(Synopsys、Cadence、Mentor,即新思科技、铿腾电子和明导国际)通过多次并购整合,完善设计全流程,奠定了三巨头竞争格局」,而经过多年的发展,EDA行业从业者的技能被不断细化,成为了一个容易进入不容易离开的行业。

近些年来,EDA公司深入制造领域,发展出了OPC等制造EDA的工具以及可制造性设计(DFM)工具。

晶圆厂如今EDA的深度用户,在制造、标准单元库、SRAM设计上都需要使用EDA。EDA也介入了早期工艺研发,帮助解决更复杂的设计规则等种种难题。先进工艺不断迭代也驱动了EDA的创新,EDA在产业链中形成了着举足轻重的作用。还是以晶圆厂为例,晶圆厂提供的Signoff签核流程决定了设计公司设计出的芯片能否在晶圆制造厂顺利生产。而Signoff签核的主要工具就是EDA。

如今,数字集成电路的设计都比较模块化,器件制造工艺需要标准化的设计描述,高抽象级的描述将被编译为信息单元(cell)的形式。设计人员在进行逻辑设计时无需考虑信息单元的具体硬件工艺。利用特定的集成电路制造工艺来实现硬件电路,信息单元就会实施预定义的逻辑或其他电子功能。

集成电路的规模越来越大,EDA的重要性也越发加强。无论是半定制可编程逻辑器件,或者全定制的专用集成电路,他们的设计、制造、验证测试都离不开EDA。

P.S.:OFweek君不是技术出身,现学现卖的这种概括文章,对于产业中的各种基础概念无法做到非常准确的描述。若读者朋友们对于文章内容准确性有异议,欢迎添加OFweek君微信(hepinggui2010)告知。若相关内容能形成完整的文章,OFweek君也可以署名文章投稿的形式,将相应内容发表在OFweek旗下各个内容平台上。感谢大家的支持!

声明:该篇文章为本站原创,未经授权不予转载,侵权必究。
换一批
延伸阅读

逻辑分析仪是一种广泛应用于数字系统调试和故障诊断的仪器。它是通过实时捕获和显示数字系统的逻辑电平状态,帮助工程师理解系统中各个信号的逻辑关系和时序关系,进而进行故障排除和系统设计验证。本文将探讨逻辑分析仪的应用原理、特点...

关键字: 逻辑分析仪 数字系统

逻辑分析仪是电子工程师用于分析和检测数字系统中的逻辑故障的重要工具。这种设备能够记录、分析并解码数字信号,帮助工程师理解系统中各个组件的交互情况。在设计和调试复杂数字系统,如计算机、微处理器、微控制器或其他嵌入式系统时,...

关键字: 逻辑分析仪 数字系统

6月3日,国内最先进晶圆代工厂商中芯国际,招股书表示,因为美国商务部修订直接产品规则(Foreign-Produced Direct Product Rule),他们为某些客户代工服务可能会受到限制。

关键字: 中芯国际 海思半导体 EDA设计

11月17-19日,三星Foundry 2021 SAFE™(Samsung Advanced Foundry Ecosystem)论坛以全球在线方式成功召开。在此次大会上,三星Foundry正式宣布行芯Phlexing...

关键字: 行芯科技 EDA设计 集成电路

12月26日,“2021年集成电路EDA设计精英挑战赛”总决赛颁奖典礼在南京集成电路培训基地隆重举行。长期关注并支持EDA产业发展的各级领导、高校代表、企业代表共聚一堂,一同见证这场EDA领域专业竞赛诞生的优秀成果。

关键字: 行芯科技 EDA设计 集成电路

在进行数字电路系统的设计时,时序是否能够满足要求直接影响着电路的功能和性能。本文首先讲解了时序分析中重要的概念,并将这些概念同数字系统的性能联系起来,最后结合FPGA的设计指出时序约束的内容和时序约束中的注意事项。 一、...

关键字: 数字系统 时序

智能合约正在成为商业经营的一个重要部分。在全球薪酬体系中,这项技术可以让企业摆脱冗员的官僚作风。商业世界日益全球化,但一些边界依然存在。法律、指令和执行它们的方法因国而异,使国际商业不必要地复杂

关键字: 区块链 数字系统

“伴随着5G时代的即将到来,人们对室内覆盖数字化提出了更高的要求,室内覆盖数字化产业需要全行业的协同,以匹配DIS(室内覆盖数字系统,Digital Indoor System)网络能力,以及用

关键字: 5G dis 数字系统 移动通信

2019中国联通合作伙伴大会期间,中国联通携手华为在上海世博中心正式开通5G室内数字系统,完成和7家终端合作伙伴对接,全面支撑各终端厂家在其展区展示5G业务。这是业界首个完成了多厂家多芯片多形态

关键字: 5G 中国联通 华为 数字系统

近日,中国电信广州研究院、中国电信深圳分公司联合华为在深圳鸿波酒店商用部署面向多隔断场景设计的新型5G室内数字系统LightSite 2.0,单用户下行峰值速率可达939Mbps。随着5G建设的

关键字: 5G 中国电信 华为 数字系统
关闭