当前位置:首页 > EDA > 电子设计自动化
[导读]电路设计:EDA技术可以帮助设计师快速地设计出电路原理图和PCB布局图,提高设计效率和准确性。

(1)电路设计:EDA技术可以帮助设计师快速地设计出电路原理图和PCB布局图,提高设计效率和准确性。

(2)电路仿真:EDA技术可以对电路进行仿真分析,验证电路的性能和可靠性,避免在实际制造中出现问题。

(3)电路优化:EDA技术可以对电路进行优化,提高电路的性能和可靠性,降低成本和功耗。

(4)电路测试:EDA技术可以帮助设计师进行电路测试,验证电路的性能和可靠性,确保电路符合规格要求。

EDA技术是现代电子设计的核心。EDA技术可以提高工作效率,降低设计成本,缩短产品研发周期,对电路设计人员和相关企业非常重要。

EDA技术主要涉及以下几个方面:

1. 逻辑设计:利用EDA设计工具完成逻辑设计、综合、仿真和布局以及电路设计分析等工作。

2. 电路仿真:可进行电路行为仿真或性能仿真,以验证电路的正确性和性能,可以节省设计周期和成本。

3. 物理设计:物理设计包括电路布局和线路布线两个阶段。物理布局主要涉及版图设计和器件布置;线路布线则是将逻辑网表映射到实际的布局图上。

4. 静态时序分析:在物理设计完成后,还需要进行静态时序分析,以保证设计在时序上的正确性。

5. 标准库:设计人员可以使用标准单元库来设计电路,标准单元库包括了例如NAND门、非门等基本电路,可以方便地完成门级设计。

6. 特殊器件设计:针对不同的应用场景,需要进行一些特殊器件的设计,这需要高超的电路设计技术和EDA技术的支持。

EDA技术已经广泛地应用于电子、通信、计算机、医疗、汽车、工业等各个领域,涉及到电路设计、芯片设计和系统设计等方面,具有重要的现实意义和技术价值。

其中,EDA技术在集成电路设计中发挥着重要的作用,可以提高芯片设计的精度和可靠性,降低测试和修改的成本和周期。此外,由于EDA技术的应用,集成电路的制造成本正在逐渐降低,这对提高电子设备的性能和降低价格具有重要的推动作用。随着科学研究与技术开发市场化,采用传统电子设计手段在较短时间内完成复杂电子系统设计,已经越来越难完成了。EDA(EleCTRonICs Design Automation)技术是随着集成电路和计算机技术飞速发展应运而生一种高级、快速、有效电子设计自动化工具。

1 EDA技术

EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要元件建模和系统仿真电子产品自动化设计过程。EDA是电子设计领域一场革命,它源于计算机辅助设计,计算机辅助制造、计算机辅助测试和计算机辅助工程。利用EDA工具,电子设计师从概念,算法、协议开始设计电子系统,从电路设计,性能分析直到IC版图或PCB版图生成全过程均可在计算机上自动完成。EDA代表了当今电子设计技术最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下设计方法,对整个系统进行方案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片适配编译和编程下载,这被称为数字逻辑电路高层次设计方法。

1.1 EDA软件简介

“EDA”就是Electronic Design Automation(电子设计自动化),也就是能够帮助人们设计电子电路或系统软件工具,该工具可以使设计更复杂电路和系统成为可能。目前进入我国并具有广泛影响EDA软件有:muhisim7、OW_AD、Protel、Viewlogio、Mentor、Synopsys、PCBW Id、Cadence、MicmSim等等,这些软件各具特色,大体分为芯片级设计工具、电路板级设计工具、可编程逻辑器件开发工具和电路仿真工具等几类;其中Protel是国内最流行、使用最广泛一种印制电路板设计首选软件,由澳大利亚protd Technology公司出品,过去只是用来进行原理图输入和PCB版图设计,从Protel 98开始,加入了模拟数字混合电路仿真模块和可编程逻辑器件设计模块,1999年Protel推出了功能更加强大EDA综合设计环境Protel 99,它将EDA全部内容整合为一体,成为完整EDA软件,因而该软件发展潜力很大,但它最具特色和最强大功能仍是原理图输人和PCB版图设计。

1.2 EDA技术主要内容

EDA技术涉及面很广,内容丰富,从教学和实用角度看,主要应掌握如下4个方面内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是软件开发工具;四是实验开发系统。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计载体,硬件描述语言是利用EDA技术进行电子系统设计主要表达手段,软件开发工具是利用EDA技术进行电子系统设计智能化自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计下载工具及硬件验证工具。

1.3 EDA技术主要特征

作为现代电子系统设计主导技术,EDA具有几个明显特征:

1.3.1用软件设计方法来设计硬件

硬件系统转换是由有关开发软件自动完成,设计输入可以是原理图VHDL语言,通过软件设计方式测试,实现对特定功能硬件电路设计,而硬件设计修改工作也如同修改软件程序一样快捷方便,设计整个过程几乎不涉及任何硬件,可操作性、产品互换性强。

1.3.2基于芯片设计方法

EDA设计方法又称为基于芯片设计方法,集成化程度更高,可实现片上系统集成,进行更加复杂电路芯片化设计和专用集成电路设计,使产品体积小、功耗低、可靠性高;可在系统编程或现场编程,使器件编程、重构、修改简单便利,可实现在线升级;可进行各种仿真,开发周期短,设计成本低,设计灵活性高。

1.3.3自动化程度高

EDA技术根据设计输入文件,将电子产品从电路功能仿真、性能分析、优化设计到结果测试全过程在计算机上自动处理完成,自动生成目标系统,使设计人员不必学习许多深入专业知识,也可免除许多推导运算即可获得优化设计成果,设计自动化程度高,减轻了设计人员工作量,开发效率高。

1.3.4自动进行产品直面设计

EDA技术根据设计输入文件(HDL或电路原理图),自动地进行逻辑编译、化简、综合、仿真、优化、布局、布线、适配以及下载编程以生成目标系统,即将电子产品从电路功能仿真、性能分析、优化设计到结果测试全过程在计算机上自动处理完成;

1.4 EDA技术要点

1.4.1可编程逻辑器件-PLD

数字逻辑器件发展直接反映了从分立元件、中小规模标准芯片过渡到可编程逻辑器件过程。ISP技术和HDPLD器件使设计人员能够在实验室中方便地开发专用集成数字电路芯片ASIC.当前,国内外许多着名厂商均已开发出新一代ISP器件以及相应开发软件(如Synario、EXPERT、Fundation、MAX Plus2等)。

1.4.2“自顶而下”设计方法

10年前,电子设计基本思路还是选择标准集成电路“自底向上”(Bottom-Up)地构造出一个新系统。这样设计方法如同一砖一瓦建造楼房,不仅效率低、成本高而且容易出错,高层次设计给我们提供了一种“自顶向下”(Top-Down)全新设计方法,这种方法首先从系统入手,在顶层进行功能方框图划分和结构设计,在方框图一级进行仿真、纠错,并用硬件描述语言对高层系统进行描述,在系统一级进行验证,然后用综合优化工具生成具体门电路网表,其对应物理实现级可以是印刷电路板或专用集成电路,由于设计主要仿真和调试过程是在高层次上完成,这既有利于早期发现结构设计上错误,避免设计工时浪费,同时也减少了逻辑功能仿真工作量,提高了设计一次成功率。

2数字电路设计

20世纪90年代以来,电子信息类产品开发明显出现两个特点:一是产品复杂程度加深;二是产品上市时限紧迫。随着计算机性价比提高及可编程逻辑器件出现,对传统数字电子系统设计方法进行了解放性革命,现代电子系统设计方法是设计师自己设计芯片来实现电子系统功能,将传统固件选用及电路板设计工作放在芯片设计中进行。然而电路设计本质上是基于门级描述单层次设计(主要以数字电路为主),设计所有工作(包括设计输入、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行,显然这种设计方法不能适应新形势,为此引入一种高层次电子设计方法,也称为系统设计方法。

数字电路设计性本身就是一种综合性设计,其设计电路中一般包含不同类型电路,在设计过程中,不可避免地存在许多错误和不足如果直接按照这一设计电路在电路板上进行安装、调试,其结果往往使电路调试费时费力,甚至会引起元器件和仪器设备损坏等问题,导致设计不能达到预期效果。应用EDA技术在仿真软件平台上设计数字电路,能帮助熟悉和掌握最先进电路设计方法和技能。在电子技术高速发展今天,新器件、新电路不断涌现,而设计条件受经费等因素制约,一般不能及时更新。采用软件仿真方法,在计算机上虚拟一个先进测试仪器、元器件品种齐全电子工作台,可进行验证性、测试性、设计性等实验针对性训练,培养使用计算机及分析、应用和创新电路能力。“以仿代实”,“以软代硬”应该成为当代设计发展潮流之一。

EDA涵盖了电子设计、仿真、验证、制造全过程的所有技术,诸如:系统设计与仿真,电路设计与仿真,印制电路板(PCB)设计与校验,集成电路(IC)版图设计、验证和测试,数字逻辑电路设计,模拟电路设计,数模混合设计,嵌入式系统设计,软硬件协同设计,芯片上系统(SoC)设计,可编程逻辑器件(PLD)和可编程系统芯片(SOPC)设计,专用集成电路(ASIC)和专用标准产品(ASSP)设计技术等。高级硬件描述语言和IP芯核被广泛采用,使得电子设计方式以及电子系统的概念发生了根本性的改变。

IP是集成电路知识产权模块的简称,可以定义为“经过预先设计、预先验证,具有相对独立功能,可以重复使用在SoC和复杂ASIC中的电路模块”。按照其在设计流程中的位置,IP可分为三种:软核IP、固核IP和硬核IP。

软核IP是用可综合硬件描述语言描述的RTL级电路功能块,不涉及用与什么工艺相关的电路和电路元件实现这些描述。软核IP的设计周期短,设计投入少,由于不涉及物理实现,为后续设计留有很大的发挥空间;但同时也会有一定比例的后续工序无法适应软核IP设计,从而造成一定程度的软核IP修正,在性能上有较大的不可预知性。另外,软核IP在使用时需要冒相当大的知识产权保护风险。

硬核IP是经过布局、布线并针对某一特定工艺库优化过的网表或是物理级版图。硬核IP在功耗、尺寸等方面都做了充分的优化,有着很好的可预知性,但对工艺的依赖性使得其灵活性和可移植性都较差。

固核IP是已经基于一般工艺库进行了综合和布局的IP核,通常以网表的形式提交客户使用。因此其在结构、面积和性能的安排上都已进行了优化。固核IP是介于软核和硬核之间的一个折中方案。

声明:该篇文章为本站原创,未经授权不予转载,侵权必究。
换一批
延伸阅读

使用PI Expert and SnapMagic可在数分钟内完成从电源规格到PCB布局的整个过程

关键字: 电源 PCB布局 CAD模型

EDA(电子设计自动化)技术可以应用于显示应用的不同方面。以下是一些主要的实现方式: PCB设计:在电子系统中,印刷电路板(PCB)是用于承载和连接各种电子元件的关键部件。在PCB上,可以焊接和配置电子元件,以实现电子...

关键字: EDA技术 电路设计

回顾EDA产业大致经历了三个发展阶段:20世纪80年代前的计算机辅助设计(CAD)时代,20世纪80年代的计算机辅助工程(CAED)时代和20世纪90年代后的电子系统设计自动化(EDA)时代。近年来,随着云计算在各行各业...

关键字: EDA技术 云计算

EDA是电子设计自动化(Electronic Design Automation)的缩写,它代表了电子设计的自动化流程。EDA技术利用计算机辅助设计(CAD)软件,来完成超大规模集成电路(VLSI)芯片的功能设计、综合、...

关键字: EDA技术 通信系统

EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要元件建模和系统仿真电子产品自动化设计过程。...

关键字: EDA技术 数字电路

EDA 作为现代电子设计的核心, 以大规模可编程逻辑器件(FPGA/COLD)为载体,以计算机为工作平台,在 EDA 软件开发环境下,采用硬件描述语言 HDL(Hardware Description Language)...

关键字: EDA技术 数字电路

EDA是电子设计自动化(Electronics Design Automation)的缩写,EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VerilogHDL完成设计文件,然后由计算机自动地完成逻...

关键字: EDA技术 EDA应用

数字频率是指数字化信号中重复发生的周期性事件的数量。在数字信号处理中,频率通常被表示为离散的样本频率,单位为赫兹(Hz)。数字频率是指数字信号中每秒相继重复的样本数。例如,如果一个数字信号每秒钟重复了1000次样本,那么...

关键字: EDA技术 数字频率计

EDA代表了当今电子设计技术的最新发展方向,利用EDA工具电子设计师可以从概念、算法、协议等开始设计电子系统大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上...

关键字: EDA技术 计算机系统

EDA(Electronic Design Automation)技术,即电子设计自动化,是电子设计与制造技术发展中的核心,用于支持从电路设计到布局和布线的整个过程。EDA技术的发展历程可以追溯到20世纪70年代,当时由...

关键字: EDA技术 eda发展历程
关闭