当前位置:首页 > EDA > 电子设计自动化
[导读]大家不要以为APB的master和slave很简单,不需要了解。这是大错特错,为什么呢?

大家不要以为APB的master和slave很简单,不需要了解。这是大错特错,为什么呢?

不过设计什么模块,你都要让它挂在标准总线上,比如你设计DMA,你就同时需要了解AMBA的master和slave设计。又比如你是设计算法计算模块,你的数据肯定要放到sram,你当然也要了解AMBA的master设计,将数据传输到crossbar上,进而放到指定memory。又比如SOC设计,肯定需要各种bridge,假设一个AHB2APB,你就同时需要了解AHB slave和APB master。

以APB为例,还是因为APB简单,但是我们可以从它学到设计的方法和思路。

既然是设计就需要spec和状态机。

设计spec如下

1、模块规划

模块diagram

2、接口描述

接口描述

3、时序描述

读时序

读时序

写时序

写时序

4、FSM

就是之前讲的APB协议状态机。如下图

APB FSM

模块规划有了,接口有了,时序有了,状态机有了,就可以开始设计coding了,代码如下:

module apb#( parameter RD_FLAG = 8'b0 , parameter WR_FLAG = 8'b1 , parameter CMD_RW_WIDTH = 8 , parameter CMD_ADDR_WIDTH = 16 , parameter CMD_DATA_WIDTH = 32 , parameter CMD_WIDTH = CMD_RW_WIDTH + CMD_ADDR_WIDTH + CMD_DATA_WIDTH)(//-- clkrst signal input pclk_i , input prst_n_i ,

//-- cmd_in input [CMD_WIDTH-1:0] cmd_i , input cmd_vld_i , output reg [CMD_DATA_WIDTH-1:0] cmd_rd_data_o,

//-- apb interface output reg [CMD_ADDR_WIDTH-1:0] paddr_o , output reg pwrite_o , output reg psel_o , output reg penable_o , output reg [CMD_DATA_WIDTH-1:0] pwdata_o , input [CMD_DATA_WIDTH-1:0] prdata_i , input pready_i , input pslverr_i);

//-- FSM stateparameter IDLE = 3'b001;parameter SETUP = 3'b010;parameter ACCESS = 3'b100;

//-- current state and next statereg [2:0] cur_state;reg [2:0] nxt_state;

//-- data bufreg start_flag ;reg [CMD_WIDTH-1:0] cmd_in_buf ;reg [CMD_DATA_WIDTH-1:0] cmd_rd_data_buf;

/*----------------------------------------------- -- update cmd_in_buf -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_in_buf <= {(CMD_WIDTH){1'b0}}; end else if (cmd_vld_i && pready_i) begin cmd_in_buf <= cmd_i; endend

/*----------------------------------------------- -- start flag of transfer -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin start_flag <= 1'b0; end else if (cmd_vld_i && pready_i) begin start_flag <= 1'b1; end else begin start_flag <= 1'b0; endend

/*----------------------------------------------- -- update current state -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cur_state <= IDLE; end else begin cur_state <= nxt_state; endend

/*----------------------------------------------- -- update next state -------------------------------------------------*/always @ (*) begin case(cur_state) IDLE :if(start_flag)begin nxt_state = SETUP; end else begin nxt_state = IDLE; end

SETUP :nxt_state = ACCESS; ACCESS:if (!pready_i)begin nxt_state = ACCESS; end else if(start_flag)begin nxt_state = SETUP; end else if(!cmd_vld_i && pready_i)begin nxt_state = IDLE; end endcaseend

/*----------------------------------------------- -- update signal of output -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin pwrite_o <= 1'b0; psel_o <= 1'b0; penable_o <= 1'b0; paddr_o <= {(CMD_ADDR_WIDTH){1'b0}}; pwdata_o <= {(CMD_DATA_WIDTH){1'b0}}; end else if (nxt_state == IDLE) begin psel_o <= 1'b0; penable_o <= 1'b0; end

else if(nxt_state == SETUP)begin psel_o <= 1'b1; penable_o <= 1'b0; paddr_o <= cmd_in_buf[CMD_WIDTH-CMD_RW_WIDTH-1:CMD_DATA_WIDTH]; //-- read if(cmd_in_buf[CMD_WIDTH-1:CMD_WIDTH-8] == RD_FLAG)begin pwrite_o <= 1'b0; end //-- write else begin pwrite_o <= 1'b1; pwdata_o <= cmd_in_buf[CMD_DATA_WIDTH-1:0]; end end

else if(nxt_state == ACCESS)begin penable_o <= 1'b1; endend

/*----------------------------------------------- -- update cmd_rd_data_buf -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_rd_data_buf <= {(CMD_DATA_WIDTH){1'b0}}; end else if (pready_i && psel_o && penable_o) begin cmd_rd_data_buf <= prdata_i; endend

/*----------------------------------------------- -- update cmd_rd_data_o -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_rd_data_o <= {(CMD_DATA_WIDTH){1'b0}}; end else begin cmd_rd_data_o <= cmd_rd_data_buf; endend

endmodule

模块设计的比较简单,只是实现APB的基本功能。下面讲一下设计重点:

·一定要做好功课在开始coding。

·Flow control,APB的上级模块,需要给到流控信号,告知APB master什么时候开始传输,什么时候结束。

·FSM,必须完全遵循AMBA的datasheet。

·时序对齐,和FSM一样,接口时序要和APB协议对齐。

·重点中的重点,pready的反压一定要逐级反压,不能直接送到APB master的上次模块,这样会丢数据。

testbench如下

`timescale 1ns/1nsmodule tb_apb; reg pclk_i ; reg prst_n_i ; reg [55:0] cmd_i ; reg cmd_vld_i ; wire [31:0] cmd_rd_data_o; wire [15:0] paddr_o ; wire pwrite_o ; wire psel_o ; wire penable_o ; wire [31:0] pwdata_o ; reg [31:0] prdata_i ; reg pready_i ; reg pslverr_i ;

initial begin // rst; pclk_i = 0; prst_n_i = 1; pslverr_i = 0; cmd_i = 56'b0; cmd_vld_i = 0; prdata_i = 32'b0; pready_i = 1; #20 prst_n_i = 0; #20 prst_n_i = 1;

// cmd_in_wr(cmd_i,56'h01_FF_EE_DD_CC_BB_AA); cmd_i = 56'h01_FF_EE_DD_CC_BB_AA; cmd_vld_i = 1 ; #20 cmd_vld_i = 0; #31 pready_i = 0; #80 pready_i = 1;

#90; //cmd_in_rd(cmd_i,56'h00_AA_BB_CC_DD_EE_FF,prdata_i,32'h12_34_56_78); cmd_i = 56'h00_AA_BB_CC_DD_EE_FF; cmd_vld_i = 1; #20 cmd_vld_i = 0; #30 pready_i = 0;

#60 pready_i = 1; prdata_i = 32'h12_34_56_78;

cmd_i = 56'h00_AA_BB_CC_DD_EE_FF; cmd_vld_i = 1; #20 cmd_vld_i = 0; #30 pready_i = 0;

#50 pready_i = 1; prdata_i = 32'h11_22_33_44;

end

always #10 pclk_i = ~pclk_i;

//-- RSTtask rst; begin pclk_i = 1; prst_n_i = 1; pslverr_i = 0; cmd_i = 56'b0; cmd_vld_i = 0; prdata_i = 32'b0; pready_i = 1; #20 prst_n_i = 0; #10 prst_n_i = 1; //cmd_i = 56'h01_FF_EE_DD_CC_BB_Ab; endendtask

//-- writetask cmd_in_wr; output [55:0] cmd; input [55:0] data;

begin cmd = data; cmd_vld_i = 1 ; #20 cmd_vld_i = 0; #20 pready_i = 0; #40 pready_i = 1; endendtask

//-- readtask cmd_in_rd; output [55:0] cmd; input [55:0] data ; output [31:0] prdata; input [31:0] rd_data;

begin cmd = data; cmd_vld_i = 1; #20 cmd_vld_i = 0; #20 pready_i = 0; #40 pready_i = 1; prdata = rd_data; endendtaskinitial begin #1000 $finish;endapb tb_apb( .pclk_i (pclk_i ), .prst_n_i (prst_n_i ), .cmd_i (cmd_i ), .cmd_vld_i (cmd_vld_i ), .cmd_rd_data_o(cmd_rd_data_o), .paddr_o (paddr_o ), .pwrite_o (pwrite_o ), .psel_o (psel_o ), .penable_o (penable_o ), .pwdata_o (pwdata_o ), .prdata_i (prdata_i ), .pready_i (pready_i ), .pslverr_i (pslverr_i ) );

initial begin $fsdbDumpfile("apb.fsdb"); $fsdbDumpvars ; $fsdbDumpMDA ;end

endmodule

makefile如下:

LAB_DIR = /home/*/apb

DFILES = $(LAB_DIR)/*.v

all:clean elab rungelab: vcs -full64 -LDFLAGS -Wl,-no-as-needed -debug_acc+all -timescale=1ns/1ns \ -fsdb -sverilog -l comp.log \ ${DFILES}

run: ./simv -l run.log

rung: ./simv -gui -l run.log

verdi: verdi ${DFILES} \ -ssf ./*.fsdb &

clean: rm -rf AN.DB \ rm -rf DVEfiles \ rm -rf csrc \ rm -rf simv.* \ rm -rf *simv \ rm -rf inter.vpd \ rm -rf ucli.key \ rm -rf *.log \ rm -rf verdiLog \ rm -rf novas* \ rm -rf *.fsdb

下面是仿真结果

SoC设计入门 - APB master设计(接口类基础思维)

好了,今天讲的主要就这么多,这个是基础,但也是干货,对以后设计AHB,AXI乃至NOC都非常有帮助。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

摘 要:为提高产品能效及市场竞争力 ,针对外翅片内螺纹强化传热管的壁厚问题 , 通过试验与理论计算相结合对比的方 式 ,对现使用的标准壁厚换热管进行内压爆破和外压失稳(可见的变形)试验以及拉伸试验 ,从试验以及理论计...

关键字: 高效换热管;爆破试验;外压失稳

摘 要:介绍了一种光伏制氢多端口变流器的设计原理、组成与各端口特性 ,该设备可应用于光伏电站配属的制氢站 ,给制 氢车间电解槽提供稳定的可调电源。实验证明:在光伏制氢应用中 ,该设备具有为制氢车间提供稳定的可调电源...

关键字: 光伏制氢;多端口变流器;汇流端口

摘 要:针对NRL型测氡仪在取样过程全程计数的测氡模型中计算复杂的问题 ,构建了一种简单计算氡浓度标定测氡仪刻 度因子的方法 。该方法在实验标定仪器标准刻度因子后 ,再根据氡的衰变规律计算任意测量周期的刻度因子 ,且...

关键字: 连续测氡;刻度因子;等效时间

摘 要:随着制造加工技术的发展 , 以及医疗、军工等重要领域对平面类零件加工的表面精度要求提高 , 目前对平面类零件 多数采用研磨盘进行加工 ,成本高且操作复杂。磁粒研磨作为一种新型研磨方式具有精度高、易 自动化等特...

关键字: 磁粒研磨;永磁交变磁场;磁性磨料

摘 要:针对传统绝缘操作杆作业法带电接引流线工作中存在的安全隐患及线路绝缘化处理的问题 ,提出了配电线路带电 接引流线组合工具的设计方案 ,分析了组合工具主要设计思路及各部分构造 , 阐述了组合工具的配合方式及使用流...

关键字: 带电作业;可靠性;引流线;配电线路

摘 要:介绍了一种基于STM32控制的肌电诱发康复器的恒流源设计 , 能够实现电流的步进可调控制 , 电流调节范围:0 ~ 3 000 mA 。恒流电源系统包括硬件系统和软件系统 ,硬件系统以控制器STM32为核...

关键字: 恒流源;PI反馈调节;STM32微控器

在这篇文章中,小编将为大家带来FPGA三段式状态机设计的相关内容。如果你对本文即将要讲解的内容存在一定兴趣,不妨继续往下阅读哦。

关键字: FPGA 状态机

本文中,小编将对FPGA不复位的代码实现予以介绍

关键字: FPGA 复位

本文中,小编将对OV7670 驱动设计予以详细介绍,如果你想对它的详细情况有所认识,或者想要增进对设计方案的了解程度,不妨请看以下内容哦。

关键字: OV7670 驱动设计 FPGA 开发板

以下内容中,小编将对基于FPGA的多通道频率检测仪设计的相关内容进行着重介绍和阐述,本文仅为上篇,具体实现方案放在下篇文章中,大家可以按需检索。

关键字: 检测仪 频率检测仪
关闭