当前位置:首页 > 公众号精选 > strongerHuang
[导读]关注星标公众号,不错过精彩内容来源| 知乎一颗芯片从无到有,从有需求到最终应用,经历的是一个漫长的过程,作为人类科技巅峰之一的芯片,凝聚了人们的智慧,而芯片产业链也是极其复杂的,在此,我大致把它归为四个部分(市场需求--芯片设计--芯片制造--测试封装),然后再一一的做详细介绍。...




来源 | 知乎



一颗芯片从无到有,从有需求到最终应用,经历的是一个漫长的过程,作为人类科技巅峰之一的芯片,凝聚了人们的智慧,而芯片产业链也是极其复杂的,在此,我大致把它归为四个部分(市场需求--芯片设计--芯片制造--测试封装),然后再一一的做详细介绍。



市场需求


这个无需多讲,目前芯片应用已经渗透到我们生活的方方面面,早晨上班骑的共享单车,到公司刷的IC卡,工作时偷偷地打游戏,手机卡了还要换更快的手机,可以说IC的市场需求一直都在。




芯片设计


芯片设计又可以分为两部分,芯片前端设计芯片后端设计,整体流程如下图:




芯片前端设计前端设计也就是从输入需求到输出网表的过程:主要分为以下六个步骤:
  1. RTL设计
  2. 验证
  3. 静态时序分析
  4. 覆盖率
  5. ASIC逻辑综合
时序分析和验证时出现的错误可能需要反复重做前面几步才能解决,是一个多次迭代优化的过程。
下面我来仔细介绍一下这六个步骤。
1、RTL设计在设计之前我们先要确定芯片的工艺,比如是选择TSMC还是SMIC,是7nm,还是5nm,而工艺的选择也是受很多因素的制约(如下图),而芯片工艺的选择,就是对这些因素的权衡。



IC设计的第一步就是制定Spec,这个步骤就像是在设计建筑前,要先画好图纸一样,在确定好所有的功能之后在进行设计,这样才不用再花额外的时间进行后续修改。IC 设计也需要经过类似的步骤,才能确保设计出来的芯片不会有任何差错。


由文档来写RTL


而用RTL实现的各种功能模块,来组成一个实现具体功能的IP,SOC芯片最终由SOC integration工程师把各个IP集成到一起。
IP又分为模拟IP和数字IP,大概可以做如下的分类:



在芯片功能设计完备后,我们还要做可测性设计DFT(Design For Test)。
RTL设计最后要做的就是代码的设计规则检查。
通过lint, Spyglass等工具,针对电路进行设计规则检查,包括代码编写风格,DFT,命名规则和电路综合相关规则等。
2、验证验证是保证芯片功能正确性和完整性最重要的一环。验证的工作量也是占整个芯片开发周期的50%-70%,相应的,验证工程师与设计工程师的数量大概在2-3:1。
从验证的层次可以分位:模块级验证,子系统级验证和系统级验证。从验证的途径可以分为:模拟(simulation),仿真和形式验证(formality check)。



3、静态时序分析(STA)静态时序分析是套用特定的时序模型(timing model),针对特定电路,分析其是否违反designer给定的时序限制(timing constraint)。目前主流的STA工具是synopsys的Prime Time。


时序分析流程图


静态时序分析的作用:a.确定芯片最高工作频率通过时序分析可以控制工程的综合、映射、布局布线等环节,减少延迟,从而尽可能提高工作频率。b. 检查时序约束是否满足可以通过时序分析来查看目标模块是否满足约束,如不满足,可以定位到不满足约束的部分,并给出具体原因,进一步修改程序直至满足要求。c. 分析时钟质量时钟存在抖动、偏移、占空比失真等不可避免的缺陷。通过时序分析可以验证其对目标模块的影响。
4、覆盖率覆盖率作为一种判断验证充分性的手段,已成为验证工作的主导。从目标上,可以把覆盖率分为两类:
  • 代码覆盖率
作用:检查代码是否冗余,设计要点是否遍历完全。检查对象:RTL代码
  • 功能覆盖率
作用:检查功能是否遍历检查对象:自定义的container在设计完成时,要进行代码覆盖率充分性的sign-off, 对于覆盖率未达到100%的情况,要给出合理的解释,保证不影响芯片的工能。
5、ASIC综合逻辑综合的结果就是把设计实现的RTL代码翻译成门级网表(netlist)的过程。在做综合时要设定约束条件,如电路面积、时序要求等目标参数。工具:synopsys的Design compiler, 综合后把网表交给后端。至此我们前端的工作就结束啦,看到这里我先给各位看官个赞!


芯片后端设计


后端设计也就是从输入网表到输出GDSII文件的过程:主要分为以下六个步骤:
  1. 逻辑综合
  2. 形式验证
  3. 物理实现
  4. 时钟树综合-CTS
  5. 寄生参数提取
  6. 版图物理验证
1.逻辑综合在前端最后一步已经讲过了,在此不做赘述。2. 形式验证
  • 验证芯片功能的一致性
  • 不验证电路本身的正确性
  • 每次电路改变后都需验证
形式验证的意义在于保障芯片设计的一致性,一般在逻辑综合,布局布线完成后必须做。工具:synopsys Formality
4. 物理实现物理实现可以分为三个部分:布局规划 floor plan布局 place布线 route1、布图规划floor plan布图规划是整个后端流程中作重要的一步,但也是弹性最大的一步。因为没有标准的最佳方案,但又有很多细节需要考量。布局布线的目标:优化芯片的面积,时序收敛,稳定,方便走线。工具:IC compiler,Encounter布图规划完成效果图:



2、布局布局即摆放标准单元,I/O pad,宏单元来实现个电路逻辑。布局目标:利用率越高越好,总线长越短越好,时序越快越好。但利用率越高,布线就越困难;总线长越长,时序就越慢。因此要做到以上三个参数的最佳平衡。
布局完成效果图:


3、布线布线是指在满足工艺规则和布线层数限制、线宽、线间距限制和各线网可靠绝缘的电性能约束条件下,根据电路的连接关系,将各单元和I/O pad用互连线连接起来。



4. 时钟树综合——CTS
Clock Tree Synthesis,时钟树综合,简单点说就是时钟的布线。
由于时钟信号在数字芯片的全局指挥作用,它的分布应该是对称式的连到各个寄存器单元,从而使时钟从同一个时钟源到达各个寄存器时,时钟延迟差异最小。这也是为什么时钟信号需要单独布线的原因。



5. 寄生参数提取
由于导线本身存在的电阻,相邻导线之间的互感,耦合电容在芯片内部会产生信号噪声,串扰和反射。这些效应会产生信号完整性问题,导致信号电压波动和变化,如果严重就会导致信号失真错误。提取寄生参数进行再次的分析验证,分析信号完整性问题是非常重要的。
工具Synopsys的Star-RCXT6.版图物理验证这一环节是对完成布线的物理版图进行功能和时序上的验证,大概包含以下方面:LVS(Layout Vs Schematic)验证:简单说,就是版图与逻辑综合后的门级电路图的对比验证;DRC(Design Rule Checking):设计规则检查,检查连线间距,连线宽度等是否满足工艺要求;ERC(Electrical Rule Checking):电气规则检查,检查短路和开路等电气规则违例;实际的后端流程还包括电路功耗分析,以及随着制造工艺不断进步产生的DFM(可制造性设计)问题等。物理版图以GDSII的文件格式交给芯片代工厂(称为Foundry)在晶圆硅片上做出实际的电路。


GDSII效果版图


最后进行封装和测试,就得到了我们实际看见的芯片。



芯片设计的流程是纷繁复杂的,从设计到流片耗时长(一年甚至更久),流片成本高,一旦发现问题还要迭代之前的某些过程。
来源:
https://www.zhihu.com/question/28322269/answer/1498321730
声明:本文素材来源网络,版权归原作者所有。如涉及作品版权问题,请与我联系删除。


------------ END ------------




本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

TWSC 2985系列SD6.0存储芯片 国内首颗支持4K LDPC纠错技术 增强纠错、耐久可靠、性能升级

关键字: 德明利 半导体 存储 芯片 国产存储企业

2024年4月11日,中国——意法半导体的ST25R100近距离通信(NFC)读取器芯片独步业界,集先进的技术功能、稳定可靠的通信连接和低廉的成本价格于一身,在大规模制造的消费电子和工控设备内,可以提高非接触式互动功能的...

关键字: 嵌入式 数据读取器 芯片

其最新一代开创性系统集成芯片及配套软件将为4600万辆汽车提供更多安全和便利功能 上海2024年4月17日 /美通社/ -- Mobileye今日宣布,其已向客户交付其最新的EyeQ™6 Lite (EyeQ...

关键字: 芯片 MOBILEYE ADAS 自动驾驶

随着2024年的到来,北斗系统建设已走过栉风沐雨、接续奋斗的30年,几代北斗人也走过了北斗系统建设从无到有,从有源定位到无源定位,从服务中国到服务亚太,再到全球组网的“三步走”发展历程。

关键字: 华大北斗 芯片

微控制单元(Microcontroller Unit;MCU) ,又称单片微型计算机(Single Chip Microcomputer )或者单片机,是一种针对特定应用的控制处理而设计的微处理器芯片,其工作频率(在1M...

关键字: MCU 芯片 半导体

今日凌晨,中国台湾东部的花莲县连续发生地震,最高强度为6.3级,震源深度10公里,据中国地震台网分析,本次地震均为4月3日台湾花莲县海域发生的7.3级地震的余震。中国台湾地区在全球半导体供应链中扮演者重要角色,其10nm...

关键字: 固态硬盘 芯片 存储

在科技飞速发展的今天,电子设备已经成为了我们日常生活中不可或缺的一部分。而在这些电子设备的内部,一个不可或缺的组成部分便是开关电源芯片。作为电源管理集成电路的核心,开关电源芯片在电子设备中发挥着至关重要的作用。本文将深入...

关键字: 开关电源 芯片

开关电源芯片作为电子设备中的重要组成部分,是实现电源转换和管理的核心器件。随着科技的不断进步,开关电源芯片的种类也在不断增加,各具特色,满足了不同设备和应用场景的需求。本文将深入探讨开关电源芯片的种类及其科技应用,带领读...

关键字: 开关电源 芯片

4月17日消息,Intel官方宣布,工程师内部研发了一种新的AI增强工具,可以让系统级芯片设计师原本需要耗费6个星期才能完成的热敏传感器设计,缩短到区区几分钟。

关键字: Intel 芯片 1.8nm

业内消息,昨天美国芯片设计公司 AMD 推出了锐龙PRO 8040/8000系列AI处理器芯片,为支持人工智能的PC提供动力,试图在与英伟达和英特尔等竞争对手的AI PC 竞争中获得领先地位。

关键字: AMD 锐龙 AI处理器 芯片
关闭
关闭