当前位置:首页 > 单片机 > 单片机
[导读]/* 名称:TIMER0 控制流水灯说明:定时器控制 P0、P2口的 LED 滚动显示,本例未使用中断函数。*/#include<reg51.h>#include<intrins.h>#define uchar unsigned char#define uint unsigned int//主程序void main(){u

/*  名称:TIMER0 控制流水灯

说明:定时器控制 P0P2

口的 LED 滚动显示,本例未使

用中断函数。

*/

#include<reg51.h>

#include<intrins.h>

#define uchar unsigned char

#define uint unsigned int

//主程序

void main()

{

uchar T_Count=0;

P0=0xfe;

P2=0xfe;

TMOD=0x01; //定时器 工作方式 1

 

TH0=(65536-40000)/256; //40ms 定时

TL0=(65536-40000)%256;

TR0=1;         //启动定时器

while(1)

{

if(TF0==1)

                            TF0=0;

                   TH0=(65536-40000)/256; //恢复初值

               TL0=(65536-40000)%256;

                  if(++T_Count==5)

                 { 

           P0=_crol_(P0,1); 

          P2=_crol_(P2,1); 

          T_Count=0; 

          }

       }

   }

}

扩展阅读:定时器控制单只LED

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

电源系统设计包括设计参数之间的许多权衡,例如尺寸、成本、效率和负载瞬态性能。为了设计功率级,必须建立各种特性,例如瞬态容限、纹波电压和负载特性。

关键字: 降压转换器 电源设计 控制

引领供应链数字化转型新潮流 上海2024年5月16日 /美通社/ -- 5月14日,"第七届亚太智慧供应链与物流创新博览会"在上海顺利举办,作为中国和亚太区最大规模,最有影响力的顶流供应链物流盛会,...

关键字: 数字化 软件 供应链管理 控制

上海2024年5月13日 /美通社/ -- CONNECT 2 是更加用户友好的声卡,仅需轻点几下,它就能为人声和乐器的录制增添异彩。触控面板触感舒适、控制精准、一目了然。所有输入输出信号均可独立静音。自动设置功能通过内...

关键字: NEC 声卡 控制 软件

功率器件是一种专门用于控制、调节和放大电能的电子元件,主要用于处理大功率电信号或驱动高功率负载,如电机、变压器、照明设备等。

关键字: 功率器件 控制 放大电能

机器人是一种集成了机械、电子、控制、传感、人工智能等多学科先进技术的自动化装备,能够模仿或替代人类进行各种任务的设备。

关键字: 人工智能 电子 控制

伺服电机是一种高精度、高性能的电机,广泛应用于各种自动化控制系统中。控制伺服电机是实现自动化控制的关键环节,不同的控制方式具有不同的特点和适用场景。本文将介绍几种常见的伺服电机控制方式及其特点,并分析在实际应用中应注意的...

关键字: 伺服电机 控制 自动化

大连2023年9月20日 /美通社/ -- 日前,国际独立第三方检测、检验和认证机构德国莱茵TÜV大中华区(以下简称"TÜV莱茵")受...

关键字: 控制 医疗服务 HEALTH 信息安全

 上海2023年9月7日 /美通社/ -- 近期,“国家卫生健康委国际交流与合作中心·因美纳公共卫生教育奖学金”项目(下称,“公共卫生教育奖学金”)完成首批奖学金颁发。该项目是由国家卫生健康委国际...

关键字: BSP 控制 组网 网络建设

张家港2023年8月25日 /美通社/ -- 对于塔机买家,需求的不仅仅是塔机的功能性,还有塔机的耐用性和残值。在这方面,波坦塔机以其卓越的品质和持久的稳定性,成为了一个值得信赖的选择。 当你在考虑购买二手塔机时,你应...

关键字: BSP 控制 变频调速 应力

上海2023年8月25日 /美通社/ -- 云顶新耀(HKEX 1952.HK)是一家专注于创新药和疫苗开发、制造、商业化的生物制药公司,今日宣布中国澳门特别行政区药物监督管理局已正式受理耐赋康®用于治疗IgA肾...

关键字: NDA 控制 新加坡 COM
关闭
关闭