当前位置:首页 > 电源 > 电源电路
[导读]一种四花样自动切换的彩灯控制器。其电路简单、取材容易,无需调试。即使不懂电子技术,只要按图连接,亦能制作成功。彩灯控制器的第一种花样为彩灯一亮一灭,从左向右移动

一种四花样自动切换的彩灯控制器。其电路简单、取材容易,无需调试。即使不懂电子技术,只要按图连接,亦能制作成功。彩灯控制器的第一种花样为彩灯一亮一灭,从左向右移动;第二种花样为彩灯两亮两灭,从左向右移动;第三种花样为彩灯四亮四灭,从左向右移动;第四种花样为彩灯1到8从左到右逐次点亮,又从左到右逐次熄灭。4种花样自动变换,循环往复。

电路组成原理

彩灯控制器电原理图如图1所示。ICl、IC2由555接成多谐振荡器。IC3由4位2进制计数器74LS93接成16进制计数器,其4个输出端可分别输出对计数脉冲的2、4、8、16分频信号。IC4是双D触发器74LS74,在这里接成两位2进制加法计数器。IC5是双4选l数据选择器74LSl53,这里只用了它的一组4选1数据通道。IC6是

3位单向移位寄存器74LSl64,它是产生移动灯光信号的核心器件。

驱动电路用8只三极管组成8路射随器作缓冲放大,去触发作电流开关的8只双向可控硅,以控制彩灯

发光。

电路的十5V电源由220V/9V变压器降压,经D1一D4桥式整流,7805稳压后给控制电路供电。

 

四花样彩灯控制器电路

 

电路工作原理

从ICl⑧脚出来的脉冲信号分为两路:一路作为计数脉冲送到IC3的⑩脚;另一路作为移位时钟脉冲加到IC6的⑧脚。调节RWl改变ICl的振荡频率,可以改变灯光的移动速度,以得到不同的动态效果。

IC2、IC4、IC5共同组成了一个电子开关。IC2输出的计数脉冲经IC4两位二进制计数,在IC4的两个输出端共可得到“00”一“11”4个逻辑状态。这4个状态作为IC5的4个数据通道选择信号,对应从IC3输送到IC5的QA、QB、QC、QD4个分频信号。其作用相当于一个受控的一刀四位的机械转换开关。当IC4输出为“00”时,选通IC5的⑧脚;为“01”时,选定IC5的⑤脚……。调节RW2改变IC2的输出脉冲周期,可以改变开关的切换时间,用以选择每种花样出现时间的长短。

从IC5第⑦脚输出的数据信号送到IC6的输入端,在时钟脉冲作用下,数据在IC6的8位并行输出端从Q0一Q7顺序移动。这一移动的8位控制信号经功率驱动电路去推动8路彩灯,就出现了8路4花样自动循环切换的流水彩灯。

元件选择

图1中,变压器用220/9V、10—20VA变压器。三极管用9013,双向可控硅用3A600V的了LC336A,每路可带20只220V15W的白炽灯泡。

印刷电路见图2。在实际制作中,注意交流220V市电的相线(火线)和中线(零线)必须严格区分。火线不能进入控制器,零线进入控制器后,与双向可控硅的地就近相接

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

功率器件是一种专门用于控制、调节和放大电能的电子元件,主要用于处理大功率电信号或驱动高功率负载,如电机、变压器、照明设备等。

关键字: 功率器件 控制 放大电能

机器人是一种集成了机械、电子、控制、传感、人工智能等多学科先进技术的自动化装备,能够模仿或替代人类进行各种任务的设备。

关键字: 人工智能 电子 控制

伺服电机是一种高精度、高性能的电机,广泛应用于各种自动化控制系统中。控制伺服电机是实现自动化控制的关键环节,不同的控制方式具有不同的特点和适用场景。本文将介绍几种常见的伺服电机控制方式及其特点,并分析在实际应用中应注意的...

关键字: 伺服电机 控制 自动化

大连2023年9月20日 /美通社/ -- 日前,国际独立第三方检测、检验和认证机构德国莱茵TÜV大中华区(以下简称"TÜV莱茵")受...

关键字: 控制 医疗服务 HEALTH 信息安全

 上海2023年9月7日 /美通社/ -- 近期,“国家卫生健康委国际交流与合作中心·因美纳公共卫生教育奖学金”项目(下称,“公共卫生教育奖学金”)完成首批奖学金颁发。该项目是由国家卫生健康委国际...

关键字: BSP 控制 组网 网络建设

张家港2023年8月25日 /美通社/ -- 对于塔机买家,需求的不仅仅是塔机的功能性,还有塔机的耐用性和残值。在这方面,波坦塔机以其卓越的品质和持久的稳定性,成为了一个值得信赖的选择。 当你在考虑购买二手塔机时,你应...

关键字: BSP 控制 变频调速 应力

上海2023年8月25日 /美通社/ -- 云顶新耀(HKEX 1952.HK)是一家专注于创新药和疫苗开发、制造、商业化的生物制药公司,今日宣布中国澳门特别行政区药物监督管理局已正式受理耐赋康®用于治疗IgA肾...

关键字: NDA 控制 新加坡 COM

上海2023年8月17日 /美通社/ -- 全球领先的专业信息、软件解决方案和服务供应商威科集团今天宣布,梦饷科技选择了CCH® Tagetik企业绩效管理(CPM)解决方案来应对全面预算管理需求。梦饷科技选择了...

关键字: TI GE 控制 软件

在电子测量和控制领域,精确信号放大和处理是至关重要的。而三运放仪表放大器作为一种常用的电子元件,因其高增益、低失真和良好的精确度而备受青睐。本文将介绍三运放仪表放大器的基本原理和结构,并探讨如何正确使用。

关键字: 电子测量 三运放仪表放大器 控制

上海2023年8月14日 /美通社/ -- 在创新驱动发展战略的政策指引下,上海外服作为人力资源行业的领跑者,快速响应政策号召,创新服务,打造以数字化平台为载体,以员工旅程为切入点的数智化人力资源工具——"数职...

关键字: 数字化 电子 手机 控制
关闭
关闭