当前位置:首页 > 模拟 > 模拟技术
[导读]EDA软件作为集成电路领域的基础工具,全面贯穿集成电路设计、制造、封测等环节。芯片作为集成电路的载体,其设计与制造是细微而宏大的工程。对于芯片的设计与制造,EDA软件可谓举足轻重。

EDA软件作为集成电路领域的基础工具,全面贯穿集成电路设计、制造、封测等环节。芯片作为集成电路的载体,其设计与制造是细微而宏大的工程。对于芯片的设计与制造,EDA软件可谓举足轻重。

EDA技术简述

EDA(Electronic Design Automation,电子设计自动化)是指用于辅助完成大规模集成电路芯片的功能设计、综合、验证、制造、封装、测试整个流程的计算机软件工具集群。 EDA是工业软件行业中的一个细分行业。近期e-works隆重发布的《工业软件与服务选型指南(第二版)》中提到,工业软件是用于支撑工业企业产品研发、工艺规划、制造、营销、采购、运营和服务等核心业务的一系列工具类和管理类软件的统称,可分为研发数字化软件、管理数字化软件、工控软件和工业基础软件四大类。其中EDA与CAD、CAE、CAM、PLM等软件都归属于工业软件的研发数字化软件类别。

狭义的EDA一般指芯片设计环节所需的软件工具;广义的EDA则包括从芯片设计、制造到封装测试各环节所需的软件工具。其涵盖了电子设计、仿真、验证、制造全过程的所有技术,例如:系统设计与仿真,电路设计与仿真,PCB(印制电路板)设计与校验,IC版图设计、验证和测试,数字逻辑电路设计,模拟电路设计,数模混合设计,SoC(芯片上系统)设计,PLD(可编程逻辑器件)设计,ASIC(专用集成电路)设计技术等。 一般意义上来说,从纳米级的器件晶体管,到集成电路、显卡、收音机、家用电器、手机电脑、车载电子系统,与电子设备和装备相关的设计、仿真、验证等步骤,都和EDA息息相关。

综上,本文将电路设计与仿真软件、芯片设计与制造软件、PCB设计软件都归为EDA软件的范畴。 随着大规模集成电路技术、计算机技术和电子系统设计技术的不断进步,EDA技术也获得了飞速发展,应用领域也变得越来越广泛。其发展过程是现代电子设计技术的重要历史进程,主要包括以下几个阶段:

主流EDA厂商巡礼

EDA软件行业流传着这么一句话:“谁掌握了EDA的话语权,谁就掌握了集成电路的命门,就可以对芯片行业的后来者降维打击。”

(一)国际主流EDA厂商

众所周知,全球EDA市场寡头垄断,集中度较高。新思科技Synopsys、楷登电子Cadence、西门子EDA和ANSYS被称为EDA软件的“四大金刚”。公开资料显示,其在全球EDA市场的占比接近80%。“四大金刚”的垄断之势,或是源于其能提供完整的EDA工具,覆盖从前端设计、后端设计、仿真/验证直到流片的整套产品,形成设计的闭环;或是在擅长的领域苦心经营,在芯片设计与制造流程的不同环节形成独特优势,发挥着关键作用。此外,国际上还有Silvaco、Keysight、Altium、Zuken等EDA厂商,在各自擅长的领域不断深耕。

Synopsys:拥有最全面的产品线,为全球电子市场提供技术先进的芯片设计与验证平台。其优势产品在于数字芯片设计、逻辑、综合等相关工具,如公司的逻辑综合工具Design Compiler、静态时序分析工具Prime Time、物理验证工具IC Validator等。

Cadence:由SDA Systems和ECAD两家公司合并而来,产品涵盖了电子设计的整个流程,包括系统级设计、功能验证、集成电路综合及布局布线、物理验证、模拟混合信号及射频集成电路设计、全定制集成电路设计、硬件仿真建模等。其优势产品在于模拟芯片设计相关工具。

西门子EDA:前身为Mentor Graphics,2016年被西门子收购后,2021年正式更名为西门子EDA。其能提供完整的软件和硬件设计解决方案,在后端布局布线领域较有优势。在PCB设计环节也有优势,如PowerPCB、Expedition PCB等产品在PCB设计领域都占有一席之地。 Ansys:2008年4月,已在机械制造仿真领域确立了王者地位的Ansys,以5.4亿美元的价格,收购了EDA厂商Ansoft(Ansoft在电路板的高频仿真领域,建立了自己独特的优势)。此次收购后,Ansys具备了系统级和封装级的仿真软件,主要专注在芯片的签核和仿真领域。其RedHawk软件在半导体的功耗设计优化及芯片供电领域具有举足轻重的位置。

Silvaco:其产品用于TCAD工艺和器件仿真、Spice参数提取、电路仿真、全定制IC设计/验证等,专注于模拟/混合信号芯片设计领域。Silvaco将最优产品与经验丰富的技术支持和工程服务结合在一起,提供一套完备的模拟半导体工艺、器件和自动化设计方案,用于CMOS、双极、SiGe和复合材料技术等。其研发的器件建模工具Victory TCAD处于业界领导地位。

Keysight:是德科技是全球电子测试测量行业龙头,专注于电子和光信号的测试测量,是通信产品设计领域领先的EDA厂商。其EDA工具套件可与器件建模、电路设计、电磁仿真、版图功能和系统级建模等工具无缝集成。

Altium:致力于开发基于PC的软件,为印刷电路板(PCB)提供辅助设计。公司所推出的第一套DOS版本PCB设计工具被澳大利亚电子行业广泛接受。随着PCB设计包的成功,Altium开始扩大产品范围,所生产的产品包括原理图输入、PCB自动布线以及自动PCB元件布局软件。其电路原理图设计工具Protel是目前EDA行业中操作快捷的辅助工具。

Zuken:是专门从事PCB/MCM/Hybrid和IC封装设计软件开发、销售和提供支持服务的EDA厂商,专注于PCB、线束和芯片封装等领域。

电子电路设计是较为深奥的一门知识,学习电子电路设计相关知识时,需保持一定耐心。对于电子电路设计,小编曾对电子电路设计的原则、方法、步骤做过讲解。本文中,将主要介绍EDA技术在电子电路设计中的应用。如果你对本文即将探讨的内容存在一定兴趣,不妨继续往下阅读哦。

EDA技术是20世纪90年代初迅速发展起来的一门新技术,代表了当今电子设计的最新发展方向,其是以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术等多种技术来实现电子产品的自动化设计。EDA技术也是高度发达的信息化社会发展的必然趋势,其应用也越来越广泛,主要电子产业包括:通信工业、信息产业、半导体工业、电子零组件工业、消费性电子工业、光电及仪表工业等行业,是现代电子设计的核心,在现代电子电路设计中起着非常重要的作用。

1.EDA技术的发展

随着大规模集成电路技术、计算机技术和电子系统设计技术的不断发展,EDA技术的含量正以惊人的速度上升,其产生和发展,使产品的开发周期大大缩短,且性能和价格比得到很大程度的提高。归纳起来其发展主要分为四个阶段:

(1)70年代为计算机辅助设计(CAD)阶段。人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。

(2)80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

(3)90年代为电子系统设计自动化(EDA)阶段。

(4)现代EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,能自动地完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

2.EDA技术的主要内容

ESDA代表了当今电子设计技术的最新发展方向,其基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。这样的设计方法被称为高层次的电子设计方法。

“自顶向下”(Top-Down)的全新设计方法,首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这一方面有利于早期发现结构设计上的错误,避免设计工作的浪费,同时也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

现代电子产品的复杂度日益加深,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大,功耗大,可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。ASIC按照设计方法的不同可分为:全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程逻辑器件)。

在设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由lC厂家掩膜制造完成。这样做的优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低;缺点是:开发周期长,费用高,只适合大批量产品开发。

电子设计自动化(EDA)技术在现代电子领域起着至关重要的作用。仿真工具是EDA技术中的关键组成部分,用于验证电路设计的性能和功能。本文将介绍常见的EDA仿真工具,包括电路仿真、时序仿真和射频仿真工具,并讨论如何使用这些工具进行电路仿真和验证。

一、电路仿真工具

电路仿真是EDA技术中最基本和常用的仿真类型之一,用于评估和验证电路在各种条件下的行为和性能。以下是几个常见的电路仿真工具:

1.SPICE(Simulation Program with Integrated Circuit Emphasis):

SPICE是最早的模拟电路仿真工具之一,广泛应用于集成电路设计和分析。它通过数学模型和方程组求解来模拟电路的行为,并提供了准确的电路分析结果。

2.LTspice:

LTspice是一种基于SPICE的免费电路仿真工具,由Linear Technology(现在的ADI)开发和维护。它具有直观的用户界面和强大的仿真引擎,可用于快速建模和仿真电路。

3.Multisim:

Multisim是National Instruments公司开发的一款集成电路设计与仿真软件。它提供了可视化的电路设计和仿真环境,支持多种模型和分析功能,适用于教学和工程实践。

二、时序仿真工具

时序仿真是用于验证数字电路时序性能和时序逻辑的仿真类型。以下是几个常用的时序仿真工具:

4.ModelSim:

ModelSim是一种强大的硬件描述语言(HDL)仿真和调试工具,支持常见的HDL语言,如VHDL和Verilog。它提供了丰富的调试功能,包括波形查看、信号追踪和时序分析。

5.VCS(Verilog Compiler Simulator):

VCS是Cadence公司开发的一款高性能Verilog仿真工具,主要用于验证和调试复杂的数字电路设计。它具有快速的仿真速度和行为准确性,广泛应用于芯片设计和验证。

6.QuestaSim:

QuestaSim是一种基于ModelSim的高级Verilog和VHDL仿真工具,由Mentor Graphics(现为Siemens公司)开发。它提供了全面的仿真功能和先进的调试工具,适用于复杂的数字系统设计和验证。

三、射频仿真工具

射频仿真用于分析和验证射频电路和系统,如无线通信、雷达和卫星通信等。以下是几个常见的射频仿真工具:

7.ADS(Advanced Design System):

ADS是Keysight Technologies(前Agilent Technologies)开发的一款射频和微波电路设计和仿真工具。它提供了完整的射频电路设计流程,包括建模、仿真、优化和布局。

8.CST Studio Suite:

CST Studio Suite是一种全面的电磁仿真软件,适用于射频和微波领域。它能够高度精确地模拟电磁场分布和射频特性,用于设计和分析天线、射频模块和宽带通信系统。

9.HFSS(High Frequency Structure Simulator):

HFSS是ANSYS公司开发的一款高频电磁仿真软件,广泛用于射频和微波电路设计。它采用有限元分析方法,具有准确的求解算法和强大的建模能力。

四、仿真工具的使用方法和应用

使用EDA仿真工具需要一定的电路设计和仿真知识。以下是一般的使用方法:

10.确定仿真目标和电路原理图:

首先,明确仿真目标,确定需要验证的电路行为和性能。然后,使用电路设计工具创建电路原理图,包括元件和连接。

声明:该篇文章为本站原创,未经授权不予转载,侵权必究。
换一批
延伸阅读

D类音频放大器参考设计(EPC9192)让模块化设计具有高功率和高效,从而可实现全定制、高性能的电路设计。

关键字: 音频放大器 电路设计

可调电容作为一种重要的电子元器件,在电路设计中具有广泛的应用。本文将对可调电容的基本概念、工作原理、调用方法以及应用场景进行详细探讨,旨在帮助读者更好地理解和应用可调电容。

关键字: 可调电容 电子元器件 电路设计

近日,国内新一代激光陀螺驱动系列功能芯片问世,由湖南二零八先进科技有限公司(下简称“二零八公司”)技术团队研发。相比行业内普遍应用的上一代激光陀螺驱动控制电路,激光陀螺驱动专用芯片降低了电路设计难度,大幅减小体积重量,实...

关键字: 激光陀螺仪电路 芯片 电路设计

R是施密特触发器输入端的一个10KΩ下拉电阻,时间常数为10×10-6×10×103=100ms。

关键字: 复位 电路设计 施密特触发器

学好电子技术基础知识,如电路基础、模拟电路、数字电路和微机原理。这几门课程都是弱电类专业的必修课程,学会这些后能保证你看懂单片机电路、知道电路的设计思路和工作原理;

关键字: 单片机 编程 电路设计

Buck-Boost电路工作原理及其应用你有没有去了解过呢?随着科技的不断发展,电力电子技术在各个领域得到了广泛的应用。其中,Buck-Boost电路作为一种重要的电力电子变换器,具有很高的实用价值。本文将对Buck-B...

关键字: buck-boost 电路设计

本文是开发测量核心体温( CBT )传感器产品的刚柔结合电路板的通用设计指南,可应用于多种高精度(±0.1°C)温度检测应用。

关键字: 温度传感器 电路设计

自9月22日开始,2023年中国大学生工程实践与创新能力大赛选拔赛在全国各省市陆续展开,10月29日北京、海南、新疆等区域选拔赛成功举办,也为今年的选拔赛画上了圆满的句号。在此,向那些成功晋级国赛的选手们致以热烈祝贺,同...

关键字: PCB 电路设计

自从智能手机、平板电脑、笔记本电脑的兴起,内置的锂电池技术没有革命性突破,续航问题一直伴随着这些数码设备,移动电源的出现给我们出行过程中学习、工作、娱乐提供了更多额外的电量,可谓是功不可没。

关键字: 移动电源 电路设计 智能手机

低纹波直流稳压电源设计基于晶体管显示在这里。这种晶体管稳压器适用于需要高输出电流的应用。常规一系列综合监管机构,像7805只能提供高达1A。其他系列通晶体管被添加到7805稳压电路,为改善他们目前的能力。

关键字: 直流 稳压电源 电路设计
关闭