当前位置:首页 > 智能硬件 > 半导体
[导读]在英特尔(Intel)负责制程技术部门的高层MarkBohr指出,无晶圆厂(fabless)半导体业经营模式已经快到穷途末路。他认为,台积电(TSMC)最近宣布只会提供一种20奈米制程,就是一种承认失败的表示;而且该晶圆代工大厂显然

英特尔(Intel)负责制程技术部门的高层MarkBohr指出,无晶圆厂(fabless)半导体业经营模式已经快到穷途末路。他认为,台积电(TSMC)最近宣布只会提供一种20奈米制程,就是一种承认失败的表示;而且该晶圆代工大厂显然无法在下一个主流制程节点提供如3D电晶体所需的减少泄漏电流技术。

「高通(Qualcomm)不能使用那种(22奈米)制程技术;」Bohr在日前于美国举行的IvyBridge处理器发表会上宣布,该新款处理器是采用英特尔三闸22奈米制程生产。他在会后即兴谈话中对笔者表示:「晶圆代工模式正在崩坏。」

当然,英特尔会想让这个世界相信,只有他们能创造世界所需的复杂半导体技术,而为其竞争对手高通、AMD代工的台积电与GlobalFoundries都不能。在IvyBridge处理器发表会上,英特尔所述说的公司成功故事,其秘诀之一就是来自于制程技术与晶片设计者之间的紧密关系。

英特尔客户端PC事业群新任总经理KirkSkaugen在发表会上与Bohr、还有IvyBridge专案经理BradHeaney一同主持问答时间;这款处理器除了首度采用3D电晶体架构,也是英特尔第一次以High-K金属闸极制程制造的产品。「做为一家整合元件制造厂(IDM),确实有助于我们解决生产这样一款小尺寸、复杂元件时所遭遇的问题。」Bohr表示。

在当下我没有质疑他的说法。自从进入次微米制程时代,EETimes美国版就有不少文章谈到晶片设计业者与制程技术提供者之间,需要有更紧密的合作关系;一位来自Nvidia的实体设计部门高层也在最近MentorGraphics的年度会议上,强调了相同的论点。

不过,Bohr在指称晶圆代工厂与无晶圆厂晶片设计业者无法追随英特尔的脚步时,似乎是过度延伸了该论点。笔者听过台积电与GlobalFoundries的研发主管提出很好的例子,证明3D电晶体架构在14奈米制程节点之前并非必要;台积电并曾表示,20奈米节点并没有足够的回旋空间可创造高性能制程其低耗电制程之间的明显变化。

我忘了问Bohr英特尔是否已在22奈米节点将高性能制程(highperformance)与低耗电(lowpower)制程做分别,不过他在问答时间表示,英特尔已经完成了一个特别针对SoC元件生产的制程技术版本,该公司计划在每个主流制程技术完成后,进一步于一季或是两季之后推出该SoC版本的变形。

对于台积电的20奈米制程计划,高通不会发表评论;但高通确实在最近财务季报发表会上表示,该公司无法向台积电取得足够的28奈米制程产能以因应市场需求,因此正寻求多个新代工来源,并预期能在今年稍晚正式上线。

这对GlobalFoundries、联电(UMC)等其他代工厂来说是个好机会;不过Bohr认为,由于生产28奈米SoC需要在设计细节上有更紧密的交流,对高通来说,与同样有生产手机SoC(Exynos)的竞争对手三星(Samsung)的代工夥伴合作,其风险会大过于任何机会。

笔者询问Bohr,英特尔除了提供22奈米制程给两家已公开的夥伴Achronix与Netronome之外,是否还有其他的合作对象;但他只回答,英特尔并不想涉足晶圆代工业务,只是让少数几家策略夥伴取得其技术。

英特尔可能没办法独占聪明的制程工程师或设计工程师,但显然拥有一些杰出的员工,已学会如何巧妙地自我行销;Bohr与Heaney就现身于发表会上放映的搞笑视讯,影片中,他们两个被微缩,进入一颗IvyBridge晶片游历。

展望未来,Bohr表示英特尔已经使用浸润式微影技术,完成下一代14奈米节点制程的特性描述;其成果不只是「令人振奋」,也意味着该公司可望将浸润式微影技术运用到仍在初期计划阶段的10奈米节点:「我们认为已经找到在10奈米节点运用浸润式微影技术的解决方案我们也很乐意使用超紫外光(EUV)微影技术,但不抱太大期望。」

接着笔者又问道,英特尔是否会在14与10奈米节点拥有一些像是3D电晶体这样的新花招,他简单回答:「是。」…当一家公司赞扬其高阶工程师并提供与他们接触的机会时,真的是很不错,但我实在是很不爱看到这些人被一家公司的公关部门「训练有素」的模样。

ce="宋体">更多信息请关注:21ic网友杂谈频道

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

4月22日消息,中国第一季度半导体产量激增40%,标志着成熟制程芯片在中国市场的主导地位日益巩固。

关键字: 半导体 英特尔 意大利

业内消息,近日英特尔表示其已成为第一家完成组装荷兰ASML的新型“High NA”(高数值孔径)EUV(极紫外)光刻设备的公司,目前已转向光学系统校准阶段。这是这家美国芯片制造商超越竞争对手的重要举措。

关键字: 英特尔 ASML EUV 光刻机

业内消息,昨天美国政府宣布将向三星电子提供至多价值 64 亿美元(当前约合 464.64 亿元人民币)的补贴,而三星电子将在得克萨斯州投资超过 400 亿美元,建设包括 2nm 晶圆厂在内的一系列半导体项目。

关键字: 三星 2nm 晶圆厂

近日,英特尔联合华铭、锐宝智联和育脉共同打造了融合掌静脉特征识别技术的智能城市轨道交通自动售检票系统(AFC)方案,将掌静脉特征识别技术应用于城市轨道交通场景,实现了轨道交通自动售检票系统的技术革新。

关键字: 英特尔 智慧交通

作为公司提高供应链韧性战略的一部分,该举措将助力Microchip实现40纳米专业工艺

关键字: 半导体 供应链 晶圆厂

业内消息,继此前正式公布新一代AI加速芯片 Gaudi 3 之后,英特尔拟另准备针对中国市场推出“特供版”Gaudi 3,包括名为HL-328的OAM相容夹层卡(Mezzanine Card )和名为HL-388的PCl...

关键字: 英特尔 特供芯片 芯片 Gaudi3

发布AI开放系统战略,展示与新客户、合作伙伴跨越AI各领域的合作。

关键字: AI 英特尔 处理器

2024年4月10日,苏州——英特尔与苏州阿普奇物联网科技有限公司联合举办2024阿普奇生态大会暨新品发布会。会上,阿普奇携手英特尔及其他行业专家共同发布了阿普奇E-Smart IPC新一代旗舰产品AK系列,该系列采用英...

关键字: 数字化 英特尔 处理器

当地时间 4 月 9 日,英特尔在 Vision 2024 客户和合作伙伴大会上正式宣布推出最新的芯片产品 Gaudi 3 AI 加速卡及全新的Xeon 6 处理器。另外,英特尔还公布了针对边缘平台的新品发布计划与 AI...

关键字: 英特尔 AI 芯片 英伟达 H100

英特尔,开始正面硬刚英伟达了。

关键字: 英特尔 英伟达 芯片
关闭
关闭